在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: cxl666

IC6151破解版32/64bit

[复制链接]
发表于 2013-8-30 16:16:22 | 显示全部楼层
支持楼主共享,感谢一下!
发表于 2013-8-30 18:47:47 | 显示全部楼层
楼主好人啊,能不能放到什么网盘啊
发表于 2013-8-30 18:51:38 | 显示全部楼层
楼主好人啊,能不能给几个 信元  捏
发表于 2013-8-31 22:02:05 | 显示全部楼层
大大帮我看一下我的环境变量设置的对不对

######################ic615mmsim12##########################

export CDS_AUTO_32BIT ALL
export LM_LICENSE_FILE=/home/eda/cadence/mmsim12/share/license/license.dat
export OA_HOME=/home/eda/cadence/ic615/oa_v22.41.022/
export MMSIM_ROOT=/home/eda/cadence/mmsim12/
export CDS_ROOT=/home/eda/cadence/ic615/
export CDSDIR=/home/eda/cadence/ic615/
export CDSHOME=/home/eda/cadence/ic615/
export CDS_ROOT=/home/eda/cadence/ic615/
export CDS_INST_DIR=/home/eda/cadence/ic615/
export CDS_LIC_FILE=/home/eda/cadence/ic615/share/license/license.dat
export CDS_Netlisting_Mode=Analog
export PATH=${CDS_INST_DIR}/tools/binPATH
export PATH=${CDS_INST_DIR}/tools/dfII/binPATH
export PATH=${CDS_INST_DIR}/tools/plot/binPATH
export PATH=${CDS_INST_DIR}/tools/dracula/bin:$PATH
export PATH=${CDS_ROOT}/tools/bin:$PATH
export PATH=${CDS_ROOT}/tools/dfII/bin:$PATH
export PATH=${CDS_ROOT}/tools/dracula/bin:$PATH
export PATH=${CDS_ROOT}/tools/plot/bin:$PATH
export PATH=${CDS_ROOT}/tools/iccraft/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/dfII/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/spectre/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/ultrasim/bin:$PATH
###########################################

谢谢谢谢
发表于 2013-8-31 22:06:18 | 显示全部楼层
[i=s] 本帖最后由 wgf1990 于 2013-8-31 22:08 编辑 [/i]

cxl版主大大,帮我看看我的环境变量设置对不对阿,

# .bashrc

# Source global definitions
if [ -f /etc/bashrc ]; then
    . /etc/bashrc
fi

# User specific aliases and functions

######################ic615mmsim12##########################

export CDS_AUTO_32BIT ALL
export LM_LICENSE_FILE=/home/eda/cadence/mmsim12/share/license/license.dat
export OA_HOME=/home/eda/cadence/ic615/oa_v22.41.022/
export MMSIM_ROOT=/home/eda/cadence/mmsim12/
export CDS_ROOT=/home/eda/cadence/ic615/
export CDSDIR=/home/eda/cadence/ic615/
export CDSHOME=/home/eda/cadence/ic615/
export CDS_ROOT=/home/eda/cadence/ic615/
export CDS_INST_DIR=/home/eda/cadence/ic615/
export CDS_LIC_FILE=/home/eda/cadence/ic615/share/license/license.dat
export CDS_Netlisting_Mode=Analog
export PATH=${CDS_INST_DIR}/tools/bin:$PATH
export PATH=${CDS_INST_DIR}/tools/dfII/bin:$PATH
export PATH=${CDS_INST_DIR}/tools/plot/bin:$PATH
export PATH=${CDS_INST_DIR}/tools/dracula/bin:$PATH
export PATH=${CDS_ROOT}/tools/bin:$PATH
export PATH=${CDS_ROOT}/tools/dfII/bin:$PATH
export PATH=${CDS_ROOT}/tools/dracula/bin:$PATH
export PATH=${CDS_ROOT}/tools/plot/bin:$PATH
export PATH=${CDS_ROOT}/tools/iccraft/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/dfII/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/spectre/bin:$PATH
export PATH=${MMSIM_ROOT}/tools/ultrasim/bin:$PATH

################################################################################

为什么我一打开terminal就显示command not found。就像下面那样:

: command not found
[lz@localhost ~]$ which virtuoso
/tools/bin:/usr/lib64/qt-3.3/bin:/usr/kerberos/bin:/usr/local/bin:/usr/bin:/bin:)/home/lz/binn
[lz@localhost ~]$ virtuoso
bash: virtuoso: command not found
[lz@localhost ~]$
发表于 2013-8-31 22:09:21 | 显示全部楼层
如能回答,给跪谢了。。。。
发表于 2013-9-4 21:10:33 | 显示全部楼层
今天继续来看看
发表于 2013-9-5 07:29:49 | 显示全部楼层
怎么没有 41 压缩包?
发表于 2013-9-5 20:55:33 | 显示全部楼层
找到41了
发表于 2013-9-6 20:58:36 | 显示全部楼层
太慢长了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-27 10:24 , Processed in 0.024183 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表