在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5311|回复: 11

[求助] 各位大虾帮我看看这段代码,小弟我看不懂啊,不胜感激

[复制链接]
发表于 2011-12-7 13:25:04 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
下面这段代码实现什么功能啊,各位大虾能具体解释下么? ST==SC_CL+mLENGTH 到底是什么意思啊?
always @(posedge CLK)

begin
SA      <= (ST==SC_CL+mLENGTH)   ? 12'h200 : ISA;
    BA      <= IBA;
    CS_N    <= ICS_N;
    CKE     <= ICKE;
    RAS_N   <= (ST==SC_CL+mLENGTH)   ? 1'b0 : IRAS_N;
    CAS_N   <= (ST==SC_CL+mLENGTH)   ? 1'b1 : ICAS_N;
    WE_N    <= (ST==SC_CL+mLENGTH)   ? 1'b0 : IWE_N;
PM_STOP <= (ST==SC_CL+mLENGTH)   ? 1'b1 : 1'b0;
PM_DONE <= (ST==SC_CL+SC_RCD+mLENGTH+2) ? 1'b1 : 1'b0;
DQM  <= ( active && (ST>=SC_CL) ) ? ( ((ST==SC_CL+mLENGTH) && Write)? 2'b11 : 2'b00 ) : 2'b11 ;
mDATAOUT<= DQ;
end
发表于 2011-12-7 14:54:16 | 显示全部楼层
ST==SC_CL+mLENGTH 是判断==两端是否相等,相等为1,不等为0.
SA      <= (ST==SC_CL+mLENGTH)   ? 12'h200 : ISA;若ST==SC_CL+mLENGTH为真,将12'h200 赋给SA,否则ISA。
 楼主| 发表于 2011-12-7 20:34:35 | 显示全部楼层
这是DE2_115 的一个TV box 实例上的代码,我想问的是 进行ST==SC_CL+mLENGTH 的判断理由是什么?mLENGTH指的是什么?
发表于 2011-12-8 09:24:13 | 显示全部楼层
判断理由,那可要看你的逻辑需要了,变量mLENGTH值什么,应该去看看它定义的地方, 有没有注解,或者你吃透整个代码,就知道它的功能了
发表于 2011-12-14 22:31:48 | 显示全部楼层
看代码永远比写代码烦
发表于 2011-12-15 16:51:24 | 显示全部楼层
请问代码中,a,b代表啥意思....
问问题不是这么问得.....你这么问谁能回答你....
always(posedge clk)
    x=(a>b)?a:b;

----------------------
从代码上看,应该是屏幕扫描的判定,比如行扫描位置,列扫描位置,以及是否到达了行尾,列尾的判定。
ST==SC_CL+mLENGTH具体啥意思只有通看代码才知道,不过不难猜测,这是一个地址偏移量的判定。
 楼主| 发表于 2011-12-16 20:14:47 | 显示全部楼层
呵呵,谢谢各位的热情答复
发表于 2011-12-16 23:23:52 | 显示全部楼层
回复 1# shuxu0514v


        看代码中的信号名,应该是SDRAM接口的东西,应该是构造SDRAM的访问时序。具体是哪种时序,那你得自己看文档了。
发表于 2011-12-17 09:40:12 | 显示全部楼层
楼主DDR或者SDRAM的协议熟不熟呢?这几个信号一看就是那里面的~~
 楼主| 发表于 2012-1-12 23:00:07 | 显示全部楼层
回复 9# tobemyself


   哥们,你说的没错, 的确是sdram里面的东西,呵呵。最近了解些Sdram的控制命令与状态之类的东西,但还是没完全弄明白,有些关于sdram的问题想向你请教:
      ccd扫描一帧视频信息流,是怎么存到sdram中的,是bank0存满了才存到bank1中的么?随机存储该怎么控制啊?由于刚接触这方面的,还望你多多指教!
      你那有关于sdram比较好的详细资料么,有的话发给我好么,谢谢。邮箱shuxu0514v@126.com
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 09:47 , Processed in 0.052805 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表