在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5110|回复: 10

[求助] encounter5.2做cts出现这样的错误(已解决)

[复制链接]
发表于 2011-12-3 10:19:50 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 sunsibing 于 2012-2-14 14:16 编辑

请教一下问题: encounter5.2用gsmc018工艺做cts的时候报告出这样的错误:
Find negetive delay -214748365ps
**DIAG[dbDUtil.c:1577:dbGetValueFromLUT3DFloatIsPositve]:Assert "0<=i0 && i0=n-2"
这个是由于什么原因引起的啊,以前用其他的库做cts没出现这样的问题
发表于 2011-12-3 12:48:13 | 显示全部楼层
本帖最后由 陈涛 于 2011-12-3 12:49 编辑

先跳过中间的结果
等postCTS 的timing report
如果还有这么大的violation,估计是SDC或者lib的问题
 楼主| 发表于 2011-12-3 14:03:01 | 显示全部楼层
本帖最后由 sunsibing 于 2011-12-3 14:09 编辑

没有附件,见下面
 楼主| 发表于 2011-12-3 14:07:02 | 显示全部楼层
encounter.chm (48.11 KB, 下载次数: 12 )
回复 2# 陈涛


    现在的问题是我等不到postcts报告,在运行ckSythesis之后就进入上面错误的死循环,
而前面的place和place之后的setup drv修复都没有出现问题
ctstch文件如下:
#
# FirstEncounter(TM) Clock Synthesis Technology File Format
#
#-- MacroModel --
#MacroModel pin <pin> <maxRiseDelay> <minRiseDelay> <maxFallDelay> <minFallDelay> <inputCap>
#-- Special Route Type --
#RouteTypeName specialRoute
#TopPreferredLayer 3
#BottomPreferredLayer 3
#PreferredExtraSpace 1
#End
#-- Regular Route Type --
#RouteTypeName regularRoute
#TopPreferredLayer 4
#BottomPreferredLayer 3
#PreferredExtraSpace 1
#End
#-- Clock Group --
#ClkGroup
#+ <clockName>
#------------------------------------------------------------
# Clock Root   : wdtclk
# Clock Name   : wdtclk
# Clock Period : 200ns
#------------------------------------------------------------
AutoCTSRootPin wdtclk
Period         200ns
MaxDelay       2ns # set_clock_latency
MinDelay       1ns # set_clock_latency
MaxSkew        300ps # set_clock_uncertainty
SinkMaxTran    1000ps # set_clock_transition
BufMaxTran     1000ps # set_clock_transition
Buffer         BUFCLKHD1X_HV BUFCLKHD3X_HV BUFCLKHD7X_HV BUFCLKHD10X_HV INVCLKHD2X_HV INVCLKHD4X_HV
NoGating       NO
DetailReport   YES
#SetDPinAsSync  NO
#SetIoPinAsSync NO
#RouteClkNet    NO
#PostOpt        YES
#OptAddBuffer   NO
#RouteType      specialRoute
#LeafRouteType  regularRoute
ThroughPin
END
sdc文件如下:
###################################################################
# Created by write_sdc on Fri Dec  2 16:19:59 2011
###################################################################
set sdc_version 1.8
set_operating_conditions  -min_library [get_libs {gsmc18g9v3_ff_3p6v_0c.db:gsmc18g9v3_ff_3p6v_0c}]  -max_library \
[get_libs {gsmc18g9v3_ss_2p3v_125c.db:gsmc18g9v3_ss_2p3v_125c}]  -min ff_3p6v_0c  -max ss_2p3v_125c
set_wire_load_mode enclosed
set_max_area 0
set_max_capacitance 0.8 [current_design]
set_max_transition 3.5 [current_design]
set_max_fanout 15 [current_design]
set_load -pin_load 0.01836 [get_ports intrst]
set_load -pin_load 0.01836 [get_ports wdtclk2]
set_ideal_network -no_propagate  [get_ports reset]
create_clock [get_ports wdtclk]  -period 200  -waveform {0 100}
set_clock_latency -min 1 [get_clocks wdtclk]
set_clock_latency -max 2  [get_clocks wdtclk]
set_clock_latency -source -min 1  [get_clocks wdtclk]
set_clock_latency -source -max 1  [get_clocks wdtclk]
set_clock_uncertainty 0.3  [get_clocks wdtclk]
set_clock_transition -rise -min 1 [get_clocks wdtclk]
set_clock_transition -rise -max 1 [get_clocks wdtclk]
set_clock_transition -fall -min 1 [get_clocks wdtclk]
set_clock_transition -fall -max 1 [get_clocks wdtclk]
set_input_delay -clock wdtclk  -max 10  [get_ports clrwdtout]
set_input_delay -clock wdtclk  -min 1  [get_ports clrwdtout]
set_input_delay -clock wdtclk  -max 10  [get_ports reset]
set_input_delay -clock wdtclk  -min 1  [get_ports reset]
set_input_delay -clock wdtclk  -max 10  [get_ports {wts[2]}]
set_input_delay -clock wdtclk  -min 1  [get_ports {wts[2]}]
set_input_delay -clock wdtclk  -max 10  [get_ports {wts[1]}]
set_input_delay -clock wdtclk  -min 1  [get_ports {wts[1]}]
set_input_delay -clock wdtclk  -max 10  [get_ports {wts[0]}]
set_input_delay -clock wdtclk  -min 1  [get_ports {wts[0]}]
set_output_delay -clock wdtclk  -max 10  [get_ports intrst]
set_output_delay -clock wdtclk  -min 1  [get_ports intrst]
set_output_delay -clock wdtclk  -max 10  [get_ports wdtclk2]
set_output_delay -clock wdtclk  -min 1  [get_ports wdtclk2]
encounter的log文件如附件所示
麻烦帮忙看一下是不是哪里有问题,谢谢!
发表于 2011-12-3 15:14:44 | 显示全部楼层
看不出什么明显的原因,建议,
1)换个新版,8.0以上
2)trace下clock net,看看有什么问题
3)用GUI里面的clock 菜单下的对话窗做CTS
 楼主| 发表于 2011-12-3 15:52:26 | 显示全部楼层
1)不行的话只有搞新版本的了
2)用clock界面上的Pre-CTS clock Tree Tracer.....
报告如下:

ckSynthesis Option: -check -trace digital.cts_trace -forceReconvergent -breakLoop
**DIAG[dbDUtil.c:1577:dbGetValueFromLUT3DFloatIsPositve]:Assert "0<=i0 && i0=n-2"
...二十多个重复
Start to trace clock trees...
Tracing Clock wdtclk...
*****
Max.Skew  :100ps
....
Buf: BUFCLKHD1X_HV...
.....
Nr.Subtrees : 1
.....
*** End ckSynthesis...
(3) 以前的操作的都是界面操作的
发表于 2011-12-4 00:14:54 | 显示全部楼层
2)可以显示一个preCTS的clock net连接的窗口,详细看下里面有什么特别的leaf pin
发表于 2011-12-4 09:12:11 | 显示全部楼层
换encounter版本吧, gsmc的lib 用的人少,发现的问题也少,
 楼主| 发表于 2011-12-23 15:37:12 | 显示全部楼层
问题找到了,不是软件的问题,是厂家的lef有问题,lef文件中把没M2和M3的走线方向定义成同一的垂直方向了
发表于 2011-12-23 17:50:14 | 显示全部楼层
这个有点意思,改完就没事了么?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-2-14 07:58 , Processed in 0.025330 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表