在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9016|回复: 21

[讨论] 有关三分频电路

[复制链接]
发表于 2011-11-24 13:50:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我是个新手,看到网上很多人设计三分频电路,用的方法很复杂,
我觉得没那么复杂,简单的计数就可以了,
以下是我写的代码,请各位高手看看对不对,相比网上那些复杂的方法是不是有缺陷

module div3(reset,clk_in,clk_out
    );
  input  clk_in,reset;
  output clk_out;
  wire clk_out;
  integer n1;
  reg clk1;
  always @(clk_in or negedge reset)   
  begin
  if (!reset)
       begin
       n1=2;
       clk1<=1'b0;                          
       end                                   
    else if (n1==2)
        begin
    n1=0;
    clk1<=~clk1;
        end   
    else
        begin
        n1=n1+1;
        clk1<=clk1;   
        end   
  end
  
  

  assign clk_out= clk1;
endmodule
发表于 2011-11-24 13:59:26 | 显示全部楼层
可能别人设计的是占空比50%的吧,所以会复杂点。
 楼主| 发表于 2011-11-24 14:35:21 | 显示全部楼层
我这个也是占空比为50%的
发表于 2011-11-24 14:37:48 | 显示全部楼层
仿真了吗? 看起来好像是6分频
 楼主| 发表于 2011-11-24 15:01:28 | 显示全部楼层
本帖最后由 faguhy 于 2011-11-24 15:03 编辑

新建 BMP 图像.JPG
这是仿真图
发表于 2011-11-24 15:47:05 | 显示全部楼层
你看到的很复杂的三分频电路是什么样的?
不是用的上升沿下降沿双判断的方法吗?
发表于 2011-11-24 16:53:41 | 显示全部楼层
问题是你这个
always @(clk_in or negedge reset)   
用时钟双边沿,在FPGA内无法实现。
有人说ASIC有对应器件。
但是在项目中无法用FPGA验证、时序分析和后端都会被这个东西堵一下,还是不要用了。
发表于 2011-11-24 17:04:19 | 显示全部楼层
这个既用边缘触发又用电平触发,在FPGA上是不能实现的,尽管你的仿真是对的
发表于 2011-11-24 21:35:58 | 显示全部楼层
感觉不太对
发表于 2011-11-24 22:32:00 | 显示全部楼层
楼主是学生?
你写的这个东西只能跑跑仿真,是不能综合成硬件的。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 02:29 , Processed in 0.045809 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表