在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4237|回复: 1

[讨论] Verilog 輸出高阻抗 求解

[复制链接]
发表于 2011-11-14 16:53:31 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

小弟目前在做
mips single cycleTOP module下的submodule之間的連接
現在初步要連PCIM
連接完成後

波型圖上的PC端輸出 (d_out[31:0]) 怎麼都是高阻抗,

小弟困擾好多天了,麻煩各位大俠幫幫忙了..

這是top module code*********************







  1. `timescale 1ns / 1ps
  2. module TOP(reset,clk);
  3. input reset,clk;
  4. wire [31:0] d_out_i_addr;
  5. wire [31:0] i_data;

  6. PC mips_PC (
  7. .clk(clk),
  8. .reset(reset),

  9. .d_out(d_out_i_addr)
  10. );

  11. IM mips_IM (
  12. .clk(clk),
  13. .i_addr(d_out_i_addr),
  14. .i_data(i_data)
  15. );
  16. endmodule



复制代码

這是 pc code************************






  1. `timescale 1ns / 1ps
  2. module PC(clk, reset, d_out);
  3.     input            clk, reset;
  4.    
  5.     output  [31:0]  d_out;
  6.     reg     [31:0] d_out;
  7.    
  8.     always @(posedge clk)
  9.     begin
  10.         if (reset==1'b1)
  11.            d_out <= 0;  
  12.         else
  13.        d_out = d_out+4;
  14.     end
  15. endmodule



复制代码

IM code*********************************







  1. `timescale 1ns/100ps
  2. module IM(
  3.                           clk,  // input clock
  4.                           i_addr, // input address
  5.                           i_data  // output data
  6.           );
  7. // parameter declaration
  8. parameter                 P_BITS = 32;
  9. parameter                 P_WORD_DEPTH = 256;
  10. parameter                 P_ADDR_WIDTH = 32;   
  11. parameter                 P_DELAY = 1.6;
  12.    
  13. //input,output declaration     
  14. input                       clk;  // input clock
  15. input  [P_ADDR_WIDTH - 1:0] i_addr; // input address
  16. output [P_BITS - 1:0]       i_data; // output data   
  17. // registers declaration
  18. reg  [P_BITS - 1:0]         i_data; // output data
  19. reg  [8:0]                  index; // for initialization
  20. // (128M)*32 memory
  21. reg  [P_BITS - 1:0]         mem [0:P_WORD_DEPTH - 1]; // define memory
  22.    
  23. // initialize memory value
  24. initial begin
  25.   for(index = 9'b0; index < P_WORD_DEPTH; index = index + 9'b1)
  26.     mem[index] = 8'b0;  
  27.   
  28.   // load instruction
  29.   $readmemh("pattern.txt", mem);
  30. end
  31. // function definition
  32. always@(posedge clk) begin :memaccess_proc
  33.   i_data <= #P_DELAY mem[i_addr[9:2]];   
  34. end // end memaccess_proc
  35. endmodule



复制代码

Topmodule Testbench*****************************






  1. `timescale 1ns / 1ps

  2. module TOP_test;
  3. // Inputs
  4. reg reset;
  5. reg clk;


  6. reg [31:0] i_addr;//IM in

  7. //outputs
  8. wire [31:0] d_out; //pc out
  9. wire [31:0] i_data;//im out

  10. // Instantiate the Unit Under Test (UUT)
  11. TOP mips_top (
  12.   .reset(reset),
  13.   .clk(clk)
  14. );

  15. always #10//5ns, clock1從1->0 or 0->1, clock period 10ns
  16. begin
  17. clk=~clk;
  18. end
  19. initial begin
  20.   // Initialize Inputs
  21. clk=0;
  22. #2  reset=1;
  23.    #12 reset=0;
  24.   // Wait 100 ns for global reset to finish
  25.   #100;
  26.         
  27.   // Add stimulus here
  28.    end

  29. initial
  30. begin  
  31.      $dumpfile("TOP_test_go.fsdb");
  32.      $dumpvars;      
  33. end   
  34. endmodule




复制代码

Isim

Isim
发表于 2011-11-14 23:52:46 | 显示全部楼层
module TOP_test;

// Inputs

reg reset;

reg clk;





reg [31:0] i_addr;//IM in



//outputs

wire [31:0] d_out; //pc out

wire [31:0] i_data;//im out

d_out, i_data是top_test里面的,根本没有被赋值,当然是高阻或者X。
层次都搞不清楚。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 01:12 , Processed in 0.022902 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表