在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: rice973

[求助] systemverilog 中的virtual都是什么意思?

[复制链接]
发表于 2013-9-24 15:48:44 | 显示全部楼层




    virtual class不能被实例化怎么理解?可以声明,不能new?
发表于 2014-7-3 10:05:44 | 显示全部楼层
解释的很清楚,不过不是太懂。。。
发表于 2015-7-31 11:25:25 | 显示全部楼层
果然學習到知識
发表于 2016-1-12 21:28:25 | 显示全部楼层
mark~~~~~~~~~~~~
发表于 2016-1-14 15:54:10 | 显示全部楼层
学习了
发表于 2016-10-26 15:38:32 | 显示全部楼层
回复 3# TommyGG


   回答的好具体,3Q!!
发表于 2018-4-13 16:05:18 | 显示全部楼层
回复 7# loglong
谢谢,说的很清楚
发表于 2019-4-27 23:10:12 | 显示全部楼层
学习一下
发表于 2019-5-5 20:31:46 | 显示全部楼层


TommyGG 发表于 2011-9-28 09:20
说明:
1、virtual interface:定义一个interface,且实例化多个后,如果没有定义virtual,则在任何一个实 ...


学习了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 16:52 , Processed in 0.025871 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表