在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: rice973

[求助] systemverilog 中的virtual都是什么意思?

[复制链接]
发表于 2012-4-21 00:33:37 | 显示全部楼层
回复 1# rice973


    明白了啊。。。
发表于 2012-6-29 15:02:51 | 显示全部楼层
明白了。。
发表于 2012-7-21 23:25:37 | 显示全部楼层
学习一下
发表于 2012-8-12 10:40:58 | 显示全部楼层
解释的非常清楚,受教了
发表于 2013-6-18 15:56:05 | 显示全部楼层
本帖最后由 uvmer 于 2013-6-18 16:15 编辑




   

virtual

virtual


解释的很清楚!谢谢。
发表于 2013-6-28 17:34:24 | 显示全部楼层
回复 3# TommyGG


    说的很明白,比我理解的好,学习了
发表于 2013-6-28 17:52:41 | 显示全部楼层
回复 11# 柠檬雨

这个例子举得非常好,多谢,受教了!
发表于 2013-6-28 21:03:36 | 显示全部楼层
回复 10# 飞扬紫百合

头像不错
发表于 2013-8-20 17:58:01 | 显示全部楼层


说明:
1、virtual interface:定义一个interface,且实例化多个后,如果没有定义virtual,则在任何一个实 ...
TommyGG 发表于 2011-9-28 09:20




   关于virtual interface,我不是很明白你的解释。




  1. `timescale 1ns/10ps

  2. //====interface
  3. interface inv_if();
  4.   logic in, out;
  5. endinterface

  6. //====module
  7. module inv(inv_if t_if);
  8.   assign t_if.out = !t_if.in;
  9. endmodule

  10. //====top
  11. module top;
  12.   inv_if intfc1(), intfc2();
  13.   inv inv1(intfc1);
  14.   inv inv2(intfc2);
  15.   virtual inv_if t_if;

  16.   initial begin
  17.     intfc1.in = 0;
  18.     #1;
  19.     $display("@%0t : intfc1.in = %d, intfc1.out = %d", $time, intfc1.in, intfc1.out);
  20.     $display("@%0t : intfc2.in = %d, intfc2.out = %d", $time, intfc2.in, intfc2.out);
  21.     #5
  22.     $finish;
  23.   end
  24. endmodule



复制代码



如果代码如上的话。没有virtual的interface inv_if例化的两个intfc1和intfc2的值的变化都不是相关的。所以我不太明白你说的virtual和非virtual在使用上的区别。
发表于 2013-8-21 12:17:31 | 显示全部楼层
回复 1# rice973


    建议了解一下C++
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 23:48 , Processed in 0.041108 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表