在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
楼主: lvlv2011

2011年9月3日MTK硬件部门的笔试题:根据时序图写verilog代码

[复制链接]
发表于 2012-5-11 15:53:03 | 显示全部楼层
......MTK在西安出的題目會不會出太簡單了..
发表于 2012-5-11 21:14:29 | 显示全部楼层
从图上看,b的输出和时钟clk是不同步的,是画错了,还是本来就是这样的呢?
发表于 2012-5-11 22:15:38 | 显示全部楼层
一个比较笨的方法:
reg[2:0] cnt_a;
wire[3:0] condition_b;
assign condition_b = {cnt_a,a};
always@(posedge clk)
begin
     cnt_a <= a ? (cnt_a + 1):0;
end

always@*
begin
     case(condition_b)
              4'b0001: b <= 1;
                   4'b1000: b <= 1;
                  default: b <= 0;
         endcase
end
发表于 2012-5-13 08:45:24 | 显示全部楼层
回复 30# sara0830


    无他 唯手熟尔
发表于 2013-10-5 11:09:41 | 显示全部楼层
双沿检测,学习一下~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:11 , Processed in 0.019945 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表