在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9487|回复: 8

[原创] set_clock_latency

[复制链接]
发表于 2011-8-11 21:15:52 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位好,想请问各位高人。
set_clock_latency 5.4 [get_clocks aclk]
set_clock_latency 4.5 -clock [get_clocks aclk] [get_pins a/b/c/gclk]

1,以上两句设定,是否会相互冲突呢?后面的是否会覆盖前面的设定呢?
2,-clock这个option是什么意思?怎么用的?为什么前一句没有,而后一句有?不是必须的,还是有特殊用法?

请各位高人指点,感激!!
发表于 2011-8-12 12:03:06 | 显示全部楼层
后面是针对  a/b/c/gclk pin对于 aclk的latency, 应该是覆盖前面的 5.4 ,因为
后面是个具体的例子,前面是通例,

-clock 是针对某个clock的latency,可以针对不同clock有不同的latency,
不是必须的,
看man的解释:
   If  mutiple  clocks  are  allowed  per object, the clock latency can be
       specified with respect to each clock with the -clock option.  Different
       values  can be applied, such that the different clock networks can have
       the different clock latencies.


建议man下自己看下,
 楼主| 发表于 2011-8-12 23:44:17 | 显示全部楼层
十分感谢高人的指点,还有个不明点想问一下:
一般network_latency是指时钟定义点到各个leaf_pin的时间,
比如我想定aclk定义点到A_leaf_pin的时间是5.4,
又想设置从时钟定义点到达A_leaf_pin前路径上的一个gated_cell的时间为4.5,
目的是让place的人明确,从gated_cell到A_leaf_pin的时间是0.9,利于时序收束。
那么我应该如何设定呢?
还有一般在非register的cell(非leaf_pin)上设置时应在输入端还是在输出端设置latency呢?

多谢各位,不吝赐教,
初来乍到,很多不明点望高人们耐心指导,感激!!
发表于 2011-8-13 09:23:53 | 显示全部楼层
没必要吧, place的时候 pr工具会考虑这种timing的,即icg timing,

加点余量就行了
set_clock_gating_check -setup 0.2
 楼主| 发表于 2011-8-25 23:13:30 | 显示全部楼层
多谢高人指点,
可以这么认为吗?
在set_clock_latency上,特殊的会覆盖一般的设置,不论前后顺序。
是这样理解吧?
请高人指点。
发表于 2011-8-26 11:02:53 | 显示全部楼层
是后面的覆盖前面的吧
发表于 2011-8-26 15:47:54 | 显示全部楼层
clock latency这些主要是在cts 的时候注意, 设置
float pin , 调整各个clock latency值,

在place的时候是考虑不到的,
发表于 2012-3-20 09:53:42 | 显示全部楼层



set_clock_latency设置的是source latency/network latency,是用在place的时候吧?
place的时候根据network latency的设定值考虑cell摆放的位置。
如果我理解错了,还请版主指正,谢谢。
发表于 2012-3-20 13:26:44 | 显示全部楼层
4楼对3楼的回答不够完整
set_clock_gating_check -setup 0.2 达不到3楼的要求,latency可以很长,但是setup margin不能太大
3楼的问题的答案其实就是他自己在1楼里的描述
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 14:21 , Processed in 0.027939 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表