在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3239|回复: 3

[求助] nc-verilog 仿真ALTERA IP 遇到问题

[复制链接]
发表于 2011-8-1 22:18:20 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
NC-verilog仿真QuartusII9.0的问题一直用Cadence NC-Verilog(版本是5.10-p004)来做仿真验证。以前用QuartusII7.2一直没问题,最近用QuartusII9.0出问题了。在编译altera_mf.v时,报错:

ncvlog: *E,UMGENE (altera_mf.v,23972|5): An 'endgenerate' is expected [12.1.3(IE EE 2001)].

查看altera_mf.v的相应位置,发现它用了generate语句。不知是不是NC-Verilog对generate支持不好的原因。

有没有什么办法?NC-Verilog的最新版本是什么呢?
发表于 2011-8-7 20:32:16 | 显示全部楼层
遇到同样的问题啦,感觉是版本的问题吧,最新的版本我知道的是2009年的,5.几的忘了;
如果把generate语句去掉,会出现  An “endmodule”  is expected 的问题,但是这个语句2001年就有了,而且ncverilog也说是支持的   说是+v1995 就OK,但是会出现别的错误!楼主搞定了么?
 楼主| 发表于 2011-8-8 09:38:11 | 显示全部楼层
回复 2# zjl840928
我现在没5.1这个版本了,我现在用的是IUS(还是NC),我也加了v1995了的,但是还是不对。
发表于 2011-8-8 14:26:12 | 显示全部楼层
版本不支持,换到IUS54就可以了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 15:30 , Processed in 0.022346 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表