在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 12215|回复: 5

关于mix-signal simulation

[复制链接]
发表于 2011-7-29 20:37:29 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
哪位大虾熟悉mix-signal 仿真啊,介绍一下基本流程,到底需不需要啊
发表于 2011-7-29 22:02:35 | 显示全部楼层
这个非常需要,现在主流的工具为AMS Designer,这个需要PDK的支持,元件须有ASM info. 较早的仿真系统为SpectreVerilog.这个比较简单,安装好verilog-xl后,就可以在ade中直接使用了.为了继续支持没有AMS info电路,cadence还开发了一种基于OSS netlister的ams仿真,可以实现从spectreverilog到ams designer的直接移植.
 楼主| 发表于 2011-7-30 16:33:35 | 显示全部楼层
谢谢啦!那有没有什么工具好介绍
发表于 2011-7-30 19:44:24 | 显示全部楼层
vcs + hsim
or
vcs + nanosim
发表于 2011-7-31 15:58:44 | 显示全部楼层
感謝分享  感恩囉....................
发表于 2011-8-1 09:24:23 | 显示全部楼层
一般是 rtl 是 logic designer 去寫
analog 是另個 rd  如logic 要跑  就把 analog 寫個 behavior
如 veriloga
而 analog 使用 hspice 時 就請 logic rd 把 verilog sim waveform dump出 pattern 後 就給 nanosim 跑  

nanosim 如下

\epic   sim pattern
\out    nanosim 一般輸出 fsdb file
\spice     top_spice  會 call subcircuit verilog gate netlist + analog spice
\verilog  放 logic 過 rtl 一般是 synthesis 後 gate netlist  但上層 pin order 要對過

ROOT 目錄下 有 cfg file
cfg file
use_sim_case l
set_sim_mode pwl
set_sim_eou sim=3 model=3
set_print_format for=fsdb
print_node_v level=3 *
set_powernet_default mode=0
print_node_i ib1
print_node_i xxx.xi1.net1

還有  setup.spi

*Power Supply and Ground for Digital Gate
.global VDD VSS
VDDDG VDD 0 2.5
VSSDG VSS 0 0


*Signal
Vl1 l1open 0 0

RUN nanosim 是
nanosim -nspi setup.spi ./spice/* \
        -nvec ./epic/* \
        -nvlog ./verilog/* \
        -c cfg \
        -t 0.5ms \
        -m xxx_digital_top \
        -o ./OUT/output \
        -A


我們沒使用 AMS Designer
另外以前還有一方法使用 aditspice

還有套 dolphin smash 是 windows 下 可跑 rtl + spice
也可使用看看 .
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-16 02:28 , Processed in 0.025622 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表