在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4696|回复: 7

[求助] 怎样在DC中指定特定的门来进行综合?

[复制链接]
发表于 2011-7-18 16:49:51 | 显示全部楼层 |阅读模式
2资产
我想使用design compiler来综合电路的网表。在网表的综合过程中只使用反相器、2-4输入的与非门和或非门,怎样来限定才能只是用这几种门呢?

发表于 2011-7-19 23:19:47 | 显示全部楼层
读库文件后把其他的门设成dont’t use ?
 楼主| 发表于 2011-7-20 18:26:46 | 显示全部楼层
我在原来的脚本里填上了
set all_lib_cells [get_lib_cells *]
set use_lib_cells [get_lib_cells "INVD* NAND2D* NAND4D* NOR2D* NOR4D*"]
set_dont_use [remove_from_collection ${all_lib_cells} ${use_lib_cells}]
,但是运行时候告诉出错:
Error: Can't find lib_cell '*'. (UID-109)
Error: Can't find lib_cell 'INVD* NAND2D* NAND4D* NOR2D* NOR4D. (UID-109)
Error: Required argument 'collection1' was not found (CMD-007)
Error: Required argument 'object_spec' was not found (CMD-007)
发表于 2011-7-25 16:13:45 | 显示全部楼层
是不是库没有读进来啊?
你设置完了set all_lib_cells [get_lib_cells *]以后,
用set all_lib_cells这个命令看看all_lib_cells设置成功了没.......
 楼主| 发表于 2011-7-26 23:00:28 | 显示全部楼层
不是这个问题,在使用set all_lib_cells [get_lib_cells *]以后直接告诉*不可识别
发表于 2012-8-21 19:21:37 | 显示全部楼层
赚金币
 楼主| 发表于 2012-8-21 19:33:18 | 显示全部楼层
一直没找到怎么发放金币,会的说下啊
发表于 2021-5-7 16:23:51 | 显示全部楼层
set all_lib_cells [get_lib_cells scc40nll_vhsc50_rvt_tt_v1p1_25c_basic/*]
*号之前把lib名字打上
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 07:54 , Processed in 0.025771 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表