在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4852|回复: 8

[求助] modelsim时序仿真ram初值读出来的都是0

[复制链接]
发表于 2011-5-30 16:54:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在quartus中用了megawizard中的双口ram,一读一写
其初值用一个.mif文件输入
现在在modelsim中仿真
功能仿真没有错误,读出了值
但是时序仿真读出来的都是0
请教各位这可能是什么原因引起的?

另外,当ram中写入新的值以后,再读的时候就是新写入的值了
我的ram深度是64,即64个周期后才出来新写入的值,前面的都是0
不知是怎么回事?功能仿真时没有问题的
时序仿真是不是需要什么设置啊?
先谢谢了!
发表于 2011-5-30 17:06:39 | 显示全部楼层
估计是reset位设置错了。
 楼主| 发表于 2011-5-30 17:21:46 | 显示全部楼层
回复 2# achillowy


    没有啊。。。而且,我把ram这个子模块单独仿真,无论功能还是时序都是正确的
而仿真顶层模块时,功能仿真时正确的,时序就不行了
(顶层模块中例化了这个子模块)
发表于 2011-5-30 17:37:35 | 显示全部楼层
回复 3# apolloooooo


    用modelsim仿真的时候能够读取的mif文件必须和仿真工程在同一目录下,看看是不是路径不对
 楼主| 发表于 2011-5-30 17:47:22 | 显示全部楼层
回复 4# sirius.yuan


    对的
功能仿真结果是正确的
就是时序出了问题
发表于 2011-5-31 08:46:18 | 显示全部楼层
看看所有控制信号有没有问题,不要局限于reset,因为这种情况下,控制信号出问题的概率最大。
发表于 2011-6-1 09:36:48 | 显示全部楼层
你晚点读呢?
会不会是时序仿真,你其它器件的初始化还没有完成,你就发读操作了。
比如说PLL这些。
发表于 2012-12-30 20:08:49 | 显示全部楼层
楼主,你的问题是怎么解决的?我也遇到了这样的问题。能不能说说。。。很期待。。。
发表于 2012-12-30 20:23:56 | 显示全部楼层
问题解决了。 应用其他论坛的话如下:
20121230202139.jpg
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-28 10:44 , Processed in 0.149993 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表