在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: Ames

[原创] 一个有符号的16位乘法器的verilog代码

[复制链接]
发表于 2014-11-18 14:05:01 | 显示全部楼层
看看楼主的作品
发表于 2014-12-4 11:35:05 | 显示全部楼层
支持原创啊
发表于 2014-12-10 16:20:09 | 显示全部楼层
回复 1# Ames


   谢谢分享
发表于 2014-12-10 17:03:22 | 显示全部楼层
顶顶顶
发表于 2014-12-25 17:16:41 | 显示全部楼层
中国就需要楼主这样原创的人
发表于 2015-2-18 16:11:03 | 显示全部楼层
感谢分享!
发表于 2015-3-22 22:17:25 | 显示全部楼层
楼主 我看你的文档里你把整个流程都做过了  麻烦能发一下您的DC脚本吗 我想学习一下  谢谢
发表于 2015-4-7 17:42:39 | 显示全部楼层
好东西借鉴下,多谢楼主分享
发表于 2015-4-25 15:00:33 | 显示全部楼层
谢谢楼主,非常感谢
发表于 2015-6-15 21:55:26 | 显示全部楼层
顶顶顶!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 04:47 , Processed in 0.026605 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表