在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: apolloooooo

[求助] verilog中for循环中是不是不能模块实例化?

[复制链接]
发表于 2011-5-5 15:35:47 | 显示全部楼层
for循环是可以综合的 但是出来的效果极差 initial语句能综合的就真的没见过了
发表于 2011-5-7 00:06:51 | 显示全部楼层
for循环中的循环体循环次数只要是固定值,电路一般都是可综合的。楼上的同学都太保守了,我本身做ASIC,对于for、generate这样的语法都用了2年多了。
楼主出错的问题我看至少有3处:
1.initial是不可综合的
2.如果是要例化多份逻辑,不是光靠for就能实现的,还需要配合generate 才行,具体参考2001语法
genvar i
generate
for (i = 0; i<10;i=i+1) begin: GEN_XXX
    always ....
end
endgenerate
3. 在你例化10份的逻辑中,都没与出现和变量i有关系的东西,不晓得你例化10份的功能是什么,很是费解呀
发表于 2011-5-7 00:09:55 | 显示全部楼层
回复 12# DreamFXD


    明白了  谢谢
发表于 2011-5-7 00:11:05 | 显示全部楼层
用GENERATE

没有比GENERATE更好用的语句了。
发表于 2011-5-7 08:21:34 | 显示全部楼层
for
  generate
发表于 2011-5-7 08:27:00 | 显示全部楼层
可以,但需要用generate
 楼主| 发表于 2011-5-10 23:10:11 | 显示全部楼层
回复 12# DreamFXD


    感谢!
    新学习的,所以很多地方不懂
发表于 2011-5-10 23:41:15 | 显示全部楼层
generate 可以,貌似占用的资源多
发表于 2011-5-11 17:52:16 | 显示全部楼层
做asic 5年了,generate 还真没敢用。
发表于 2011-5-11 18:12:46 | 显示全部楼层
1. 循环创建或条件创建元件使用generate;
2. for在固定次数的情况使用比较强点的综合器是可以综合的。
3. initial 在quartus中是有条件的认的。具体可参考quartus的手册。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-28 23:27 , Processed in 0.019184 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表