在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2102|回复: 1

下面的warning是怎么产生的,不是很理解

[复制链接]
发表于 2005-8-31 12:30:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
module bingcuanfan(clk,rst,a,b);
input clk;
input rst;
input a;
output [7:0]b;
reg  [7:0]temp;
reg [7:0]b;
reg [10:0]state;
reg  [10:0]nextstate;
parameter idle=11'b00000000001,st0=11'b00000000010,st1=11'b00000000100,st2=11'b00000001000,st3=11'b00000010000,st4=11'b00000100000,st5=11'b00001000000,
          st6=11'b00010000000,st7=11'b00100000000,st8=11'b01000000000,st9=11'b10000000000;
always @(negedge clk)
begin
if(rst)
begin
state<=idle;
temp<=8'b0;
b<=8'b1;
end
else
state<=nextstate;
end
always @(state)
begin
b=8'b1;
case(state)
idle:;
st0:begin
    temp={temp,a};
    b=8'b1;
    end
st1:begin
    temp={temp,a};
    b=8'b1;
    end
st2:begin
    temp={temp,a};
    b=8'b1;
    end
st3:begin
    temp={temp,a};
    b=8'b1;
    end
st4:begin
    temp={temp,a};
    b=8'b1;
    end
st5:begin
    temp={temp,a};
    b=8'b1;
    end
st6:begin
    temp={temp,a};
    b=8'b1;
    end
st7:begin
    temp={temp,a};
    b=8'b1;
    end
st8:b=temp;
st9:;
default:begin
        temp=8'b0;
    b=8'b1;
    end
endcase
end
always @(state)
begin
case(state)
idle:nextstate=st0;
st0:nextstate=st1;
   
st1:nextstate=st2;
   
st2:nextstate=st3;
   
st3:nextstate=st4;
   
st4:nextstate=st5;
   
st5:nextstate=st6;
   
st6:nextstate=st7;
   
st7:nextstate=st8;
     
st8:nextstate=st9;
   
st9:nextstate=idle;
   
default:state=idle;
        
  
endcase
end
endmodule
 楼主| 发表于 2005-8-31 12:31:11 | 显示全部楼层

下面的warning是怎么产生的,不是很理解

[这个贴子最后由sghxz在 2005/08/31 12:33pm 第 1 次编辑]

WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at VCC
WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at GND
WARNING:Xst:528 - Multi-source in Unit  on signal > not replaced by logic
Signal is stuck at GND
写的是一组11串行数据进入,第一位无效,2-9有效,后面的无效,截取2-9位的数据
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-22 15:57 , Processed in 0.028190 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表