在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7861|回复: 15

[求助] 如何使用50MHZ FPGA本身的时钟产生一个 3MHZ 的分时钟

[复制链接]
发表于 2011-1-28 15:30:15 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
主要问题如题,但是更加详细的是这样的:
1、首先我是有一个CPLD—50MHZ,想产生一个3MHZ的分频,当然是精确的,不然就会和其他时钟产生偏移,如何产生?
2、我看网上说PLL可以实现,如何实现呢?我用quartus的wizard时出现了这样:    can not implement the requested PLL
                                                                                                                 cause: requested mult/div factors cannot achievable
3、当我改变PLL 中间inclk0的信号为10MHZ的时候他就会出现 cause : VCO or PFD 溢出的情况,当然换了一个信号的FPGA就好了。
所以在这里向请问一下,只用CPLD 可以实现否? 如果可以请详细介绍(本人比较愚钝)
发表于 2011-1-28 16:35:55 | 显示全部楼层
到底是FPGA还是CPLD
老的CPLD里是没有PLL的
另外 3M要多精确? PLL也不一定能达到你要精度
 楼主| 发表于 2011-1-28 17:17:56 | 显示全部楼层
回复 2# chit_wps
嗯 用CPLD可以实现的话那是最好,如果不行那用PLL 可以实现吗?精度的话,我不知道该怎么形容,不算很高,只要能驱动AD芯片就可以的那种(AD9826)
发表于 2011-1-28 18:28:55 | 显示全部楼层
按DDS的工作原理,做一个累加器、寄存器等在CPLD里面,用50M时钟可以得到3MHz的分频时钟,累加器按32位来计算也用不了多少资源。
发表于 2011-1-30 13:56:45 | 显示全部楼层
占空比就不是50%
发表于 2011-1-30 14:32:54 | 显示全部楼层
要精确到多少?
发表于 2011-1-31 09:29:40 | 显示全部楼层
你的硬件根本不能实现这么低的频率
发表于 2011-1-31 14:37:31 | 显示全部楼层
CPLD里面没有PLL和DCM,时钟分频只能采用数字分频,但精度肯定不高了。
发表于 2011-2-1 10:24:19 | 显示全部楼层
给你几个建议 :
1. 用半数分频做,可以得到50%占空比的信号,缺点是信号抖动较大
2. 用DDS做,需要增加硬件成本
3. 用器件内部锁相环做(这个我没用过)

另外,CPLD里面是没有PLL的
发表于 2011-2-8 16:36:37 | 显示全部楼层
看LZ的3M时钟好像是给AD用的,一般AD对时钟的要求都很高,时钟的相噪不能太差,否则影响采样的效果。因此你这个最好输入一个频率源让FPGA能用PLL锁出3M,而且就算PLL能锁出这个频率由于FPGA出来的时钟相噪较差,在测量领域一般不能用,实在不行就外加一个锁相环。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-8 15:12 , Processed in 0.034455 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表