在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3546|回复: 14

[求助] verilog语法问题

[复制链接]
发表于 2011-1-25 22:12:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位高人我在模块中定义的参数module #(parameter SIZE = 16)

我在模块中的always进程中给一个16bit变量赋值

reg <= SIZE 'b1;警告有语法错误,请问这么改正。我的意图为最低位为1,其余为都为0
发表于 2011-1-25 23:01:39 | 显示全部楼层
回复 1# zhangchaochun


can you post the complete code of your module.
It is very hard to help you with your description for now...
发表于 2011-1-26 09:01:47 | 显示全部楼层
reg <= SIZE{ 1'b1};
发表于 2011-1-26 09:38:44 | 显示全部楼层
reg <= SIZE 'd1;
或者
reg <= 1;
都可以
发表于 2011-1-28 21:49:59 | 显示全部楼层
reg <= {(SIZE-1){ 1'b0},1'b1};
不过代码看上去有点蛋疼。大哥你何苦呢。。
直接16’h0001不是很清楚吗
发表于 2011-1-29 21:11:02 | 显示全部楼层
楼上正解,但是写成16'h0001就不是参数化的设计了。。。

貌似v2001支持 reg <= 'h1;
发表于 2011-1-30 13:10:02 | 显示全部楼层
十六进制的看着比较舒服
发表于 2011-1-30 13:36:25 | 显示全部楼层
很少像这样做的
发表于 2011-1-31 15:04:24 | 显示全部楼层
想参数化。。。
5#正解
发表于 2011-2-15 17:14:49 | 显示全部楼层
基本上用十六进制或二进制表示
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 15:39 , Processed in 0.028763 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表