在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4980|回复: 14

[求助] FPGA验证问题

[复制链接]
发表于 2010-12-4 20:00:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
为什么FPGA验证时改动一个模块会影响其他模块的功能,(注:两模块无任何联系),有时候增加某项功能或完善会导致所有的功能都不能实现了,这是为什么啊??
发表于 2010-12-4 21:44:25 | 显示全部楼层
也许是改了之后时序达不到了,比如说资源不够导致时钟频率达不到了,自然就会不工作
发表于 2010-12-4 22:23:02 | 显示全部楼层
Agree with benjaminweber, I've similar experience.
 楼主| 发表于 2010-12-5 14:25:50 | 显示全部楼层
回复 2# benjaminweber


    可是从综合报告中可以看到资源利用很有限啊,大概都在20%左右,应该还有足够的资源够利用啊。。。。
发表于 2010-12-5 20:03:01 | 显示全部楼层
检查过par之后的timing report吗?
发表于 2010-12-6 13:38:18 | 显示全部楼层
这个靠经验了,约束没加全,即使加全了,也有可能不成功
 楼主| 发表于 2010-12-6 14:49:41 | 显示全部楼层
回复 6# demonsolar


    还需要加约束啊??没加过约束,如果FPGA验证不成功,后仿过了能流片吗??
 楼主| 发表于 2010-12-6 14:57:56 | 显示全部楼层
回复 5# benjaminweber

par是什么意思啊??刚入门不太懂,还请高手指教啊,多谢啊!!

    报告中有时序违例,是pll保持时间违例,该怎么解决呢??
发表于 2010-12-6 21:24:26 | 显示全部楼层
个人觉得这个确实很复杂,现在手里一个设计,ASIC转过来的,问题是synplify里没有DC那么多约束,很多约束要改,有些约束语法或者格式是对的,但是报告出来就是说找不到加不上,综合出来后仿也还可以,但是最后拿到quartus里一布线,好像把synplify里综合好的布局和时序又打乱了,感觉比做ASIC麻烦多了……新人学习中……
发表于 2010-12-6 21:31:40 | 显示全部楼层
学习中,,,
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 10:55 , Processed in 0.040141 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表