在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 5088|回复: 12

[求助] questasim6.6b運行UVM沒有rand的lic

[复制链接]
发表于 2010-10-17 06:23:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
有沒有人在使用版上的questasim6.6b遇到這個問題:
Unable to checkout verification license - required for testbench features (randomize, randcase, randsequence, covergroup)

我估計是license是modelsim的緣故,有沒有解決了的兄弟?或者可以解決這個問題的其他版本呢?

多謝了!
发表于 2010-10-17 09:23:44 | 显示全部楼层
用的哪的lic啊?
发表于 2011-1-16 21:37:56 | 显示全部楼层
I don't know what is wrong~
发表于 2011-1-16 23:25:14 | 显示全部楼层
我的正常了
发表于 2011-1-20 22:40:38 | 显示全部楼层
楼主发个questasim6.6b安装文件吧,谢谢!
发表于 2011-2-21 15:57:33 | 显示全部楼层
谢谢共享
发表于 2011-2-28 14:48:28 | 显示全部楼层
有6.6的license或破解吗?发一个吧
发表于 2011-4-3 20:58:30 | 显示全部楼层
CAKJDKQAD
发表于 2011-4-3 21:02:34 | 显示全部楼层
回复 8# liushangtian
发表于 2011-4-3 21:05:20 | 显示全部楼层
SSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSS
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 04:46 , Processed in 0.030049 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表