在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3278|回复: 4

[求助] 关于DC的使用

[复制链接]
发表于 2010-9-19 01:00:09 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问大家,使用DC综合时,read某Vhdl文件时,出现“library unisim not found ”是什么意思呀??
发表于 2010-9-19 13:21:05 | 显示全部楼层
没找到库
发表于 2010-9-19 15:00:47 | 显示全部楼层
字面意思:找不到库文件。
附:提问的时候把问题说清楚,别人就能明白为啥异常。
比如用的read VHDL 指令,以及read lib指令,或者lib设定文件,等。
发表于 2010-9-19 15:49:18 | 显示全部楼层
read VHDL文件之前需要先加载相应的library 文件:link library + target library!!
 楼主| 发表于 2010-9-25 00:13:04 | 显示全部楼层
谢谢大家的回答~ 我已经搞明白了,原来是我在FPGA里用的库在ASIC里没有~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-29 07:33 , Processed in 0.092667 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表