在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2065|回复: 0

有关vhdl的仿真时序和综合问题

[复制链接]
发表于 2005-5-5 22:23:02 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我在用元件例化将几个小模块组合成大模块进行仿真,但是他们之间的信号时序会发生改
变(比如说本来要求一个模块输出一个低电平给另一个模块,然后另一个模块就正常工作
“同时”“立刻”输出一个低电平给其他模块。但是仿真时的时序却不是“立刻”发生改
变的,而是延时了一个clk才改变的。但是在单个小模块中仿真时序是正常的。)这是什么问题啊?应该怎样解决。(用的是全局时
钟clk)。
我在综合的时候有这样的warning(Blackbox <×××××> is missing a user supplie
d timing model. This may have a negative effect on timing analysis and optimiz
ations (Quality of Results) ),上面的时序问题是不是这个warning所说的原因才发生
的,应该要怎样解决啊?
请教各位大人,thx。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 11:18 , Processed in 0.019599 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表