谢谢各位的精彩回答,呵呵,我有点明白了。
还有一个问题:
A,B是两个输出端口(port),signal_1是信号
A : out std_logic_vector(2 downto 0);
B : out std_logic_vector(2 downto 0);
signal signal_1: std_logic_vector(2 downto 0);
signal integer_1: integer range 0 to 3:=2;
如果我进行如下操作:
A<=signal_1 + integer_1;
B<=signal_1;