在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4663|回复: 13

[求助] 我编写的一个8位拨码开关然后分频的程序.老有问题,,冰天雪地万分着急

[复制链接]
发表于 2010-4-26 09:54:32 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
LIBRARY IEEE;  
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY dvn IS
    PORT (clk,enn : IN STD_LOGIC;
             dfin: in std_logic_vector(7 downto 0);
             fout ut STD_LOGIC;
             fg ut STD_LOGIC
         );
END dvn;
ARCHITECTURE behav OF dvn IS
signal q1,q2,q3,m1,ck : STD_LOGIC;
signal dt: std_logic_vector(7 downto 0);
signal df,dg: std_logic_vector(7 downto 0);
begin
df<=dfin-"00000010";
dg<=dfin-1;
process(enn,clk,dfin,dg)
begin
if enn='0' then dt<="00000000";
elsif clk'event and clk='1' then
    if dt=dg then dt<="00000000";
       else  dt<=dt+1;
    end if;
end if;
if dt='0'&df(7 downto 1) then q1<='1';
else q1<='0';
end if;
end process;
ck<=q2 and (clk xor df(0));
process(q1,clk,m1)
begin
if m1='1' then q2<='0';
  elsif q1='1' then
      if clk'event and clk='1' then q2<='1';
      end if;
end if;
end process;
process(dt,clk,dg)
begin
if clk'event and clk='1' then
    if dt=dg then m1<='1';
    else m1<='0';   
end if;
end if;
end process;
process(ck,m1)
begin
if m1='1' then q3<='0';
elsif ck'event and ck='1' then q3<='1';
end if;
end process;
fout<=q3;
fg<=ck;
end behav;



程序如 上..老仿镇过不了,,哪个高人指点下....
 楼主| 发表于 2010-4-26 09:56:21 | 显示全部楼层
ERROR:Cpld:853 - Insufficient number of product terms.  This design needs at least 297 but only 180 left after allocating other resources.
Device 9536XL44VQ was disqualified.
ERROR:Cpld:868 - Cannot fit the design into any of the specified devices with the selected implementation options.



在ISE软件中老是这样报错
发表于 2010-4-26 09:58:36 | 显示全部楼层
器件容量不够?
 楼主| 发表于 2010-4-26 10:14:12 | 显示全部楼层
哪个帮我看下应该在什么地方修改下??高人帮忙...
 楼主| 发表于 2010-4-26 11:25:06 | 显示全部楼层
dddddddddddddd
 楼主| 发表于 2010-4-26 12:36:58 | 显示全部楼层
DDDDDDDDDDDDDDDDDDD
发表于 2010-4-26 14:40:56 | 显示全部楼层
It is probable that the fitter is attempting to collapse all logic into the fewest levels of logic for the highest speed performance. A drawback to this is that shared logic becomes duplicated and therefore consumes additional product term resources.
 楼主| 发表于 2010-4-26 14:56:50 | 显示全部楼层
我编写的一个8位拨码开关然后分频的程序.老有问题,,冰天雪地万分着急哪个帮我修改下,,我时间太紧迫了
 楼主| 发表于 2010-4-26 17:06:42 | 显示全部楼层
DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD
发表于 2010-4-26 22:03:14 | 显示全部楼层
谢谢分享
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

X

小黑屋| 手机版| 关于我们| 联系我们| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2025-6-26 18:59 , Processed in 0.033650 second(s), 10 queries , Gzip On, MemCached On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表