|
马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。
您需要 登录 才可以下载或查看,没有账号?注册
x
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY dvn IS
PORT (clk,enn : IN STD_LOGIC;
dfin: in std_logic_vector(7 downto 0);
fout ut STD_LOGIC;
fg ut STD_LOGIC
);
END dvn;
ARCHITECTURE behav OF dvn IS
signal q1,q2,q3,m1,ck : STD_LOGIC;
signal dt: std_logic_vector(7 downto 0);
signal df,dg: std_logic_vector(7 downto 0);
begin
df<=dfin-"00000010";
dg<=dfin-1;
process(enn,clk,dfin,dg)
begin
if enn='0' then dt<="00000000";
elsif clk'event and clk='1' then
if dt=dg then dt<="00000000";
else dt<=dt+1;
end if;
end if;
if dt='0'&df(7 downto 1) then q1<='1';
else q1<='0';
end if;
end process;
ck<=q2 and (clk xor df(0));
process(q1,clk,m1)
begin
if m1='1' then q2<='0';
elsif q1='1' then
if clk'event and clk='1' then q2<='1';
end if;
end if;
end process;
process(dt,clk,dg)
begin
if clk'event and clk='1' then
if dt=dg then m1<='1';
else m1<='0';
end if;
end if;
end process;
process(ck,m1)
begin
if m1='1' then q3<='0';
elsif ck'event and ck='1' then q3<='1';
end if;
end process;
fout<=q3;
fg<=ck;
end behav;
程序如 上..老仿镇过不了,,哪个高人指点下.... |
|