在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: zczc999

[求助] 请问怎样将IO pad加到DC综合后网表中??

[复制链接]
发表于 2010-11-15 10:10:20 | 显示全部楼层
同样求问, core_logic -> I/O cell -> PAD; 是指这样的PAD吗? 或者您指定PAD是I/O cell
发表于 2010-12-23 13:22:09 | 显示全部楼层
学习学习!
发表于 2010-12-29 10:47:43 | 显示全部楼层
IO PAD加上了,可是综合后的网表中power pad 没有加上,不知为何?
发表于 2011-5-9 21:40:36 | 显示全部楼层
原来综合后也可以加,学习了.
发表于 2012-5-2 18:11:22 | 显示全部楼层
回复 9# 艾清别诺


    前辈,关于将IO pad加到综合后网表,请问前辈是怎么做的?我在encounter里加pad,老加不上,大侠们说是网表里没有io pad,请前辈指点!
发表于 2012-10-10 08:43:35 | 显示全部楼层
学习学习!
发表于 2013-6-25 15:33:16 | 显示全部楼层
回复 2# empty_085


   你好,   本来加上设计输入输出上的 input、output delay, 在 添加了顶层IO后,  还需要 对IO顶层添加 input output  delay吗??
发表于 2013-6-25 22:51:21 | 显示全部楼层
还有特殊的VDD和VSS该如何处理呢?
发表于 2014-5-23 15:40:52 | 显示全部楼层
加上pad再综合,报告的芯片面积会加上pad的面积。并且在用encounter的时候,pad跟芯片(core)是分开的,而core有算上了pad的面积,为之奈何?
发表于 2014-6-9 14:46:22 | 显示全部楼层
具体怎么加没有详细说明啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 10:55 , Processed in 0.027082 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表