在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: Navyfield

[求助] 为啥FOR循环不能综合?

[复制链接]
发表于 2010-4-3 12:24:15 | 显示全部楼层
如果你想通过for循环来延迟的话,估计不能够综合了
发表于 2010-4-3 19:13:50 | 显示全部楼层
for 循环可综合,while 循环不可综合,对吗?
发表于 2010-4-4 15:06:53 | 显示全部楼层
是硬件,不是软件
for能综合
几乎不用
发表于 2010-4-4 15:08:29 | 显示全部楼层
学习了……
发表于 2010-4-4 16:37:27 | 显示全部楼层
如果循环的结束条件如i<t;则不能综合。此处t必须是一个确定的数字,即便在此之前定义了t的值,也不能综合
发表于 2010-4-4 17:47:40 | 显示全部楼层
这是做软件的习惯,我觉得要做FPGA设计,必须对你要设计的电路结构足够清楚,不要把什么都让综合工具去做,这样做即使可以实现电路功能,但这样是做不了系统的,因为这样的系统肯定不可靠。
发表于 2010-4-4 18:38:49 | 显示全部楼层
顶一个!
发表于 2010-4-5 19:20:30 | 显示全部楼层
想不明白为什么要用for
发表于 2010-4-5 20:15:28 | 显示全部楼层
FOR循环可以合成,但是你没有办法预期合出来的电路是什么样子的,是不是会满足你的要求啊,所以一般情况下都不用FOR循环
发表于 2010-4-27 16:20:31 | 显示全部楼层
建议初学者忘掉 verilog的所有看上去很牛的语法
所谓的硬件描述语言 就是描述硬件的
硬件里面只有 组合逻辑 时序逻辑 和连线
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 15:16 , Processed in 0.027082 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表