在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
12
返回列表 发新帖
楼主: willwillwill

[求助] 发个ASIC中的ROM与RAM生成的问题

[复制链接]
发表于 2014-11-6 22:10:36 | 显示全部楼层
受教了
发表于 2017-6-14 17:06:47 | 显示全部楼层
Thanks for your sharing
发表于 2022-5-31 00:37:43 | 显示全部楼层
受教了,谢谢!
发表于 2022-5-31 14:38:09 | 显示全部楼层
通常foundry厂会提供对应工艺制程的memory compiler,使用这个工具,根据需求配置相应的参数,生成一系列文件,包括用于仿真的.v行为模型,以及用于综合的.lib(可转为.db)文件,这些文件可以满足前后端的需求;
对于ram,由于成本较高,如果需要的容量不大,可以手写,也就是所谓的用寄存器搭一个;
发表于 2024-3-7 21:22:29 | 显示全部楼层
artisan, 还有foundry 自带 EFROM吧。
发表于 2024-3-8 13:40:01 | 显示全部楼层
memory compiler可以用来生成SRAM,想问下ROM可以用什么工具生成吗?
发表于 2024-3-8 13:50:41 | 显示全部楼层


潇洒的蛋壳Chris 发表于 2024-3-8 13:40
memory compiler可以用来生成SRAM,想问下ROM可以用什么工具生成吗?


也有ROM compiler的
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 04:34 , Processed in 0.031087 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表