在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7325|回复: 16

[求助] 发个ASIC中的ROM与RAM生成的问题

[复制链接]
发表于 2010-2-9 09:13:10 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
比如一个芯片里面需要用到ROM来存数据,RAM来存中间产生的数据。那么我们怎么来设计这个RAM,ROM呢?是用verilog自己来编?还是有相应的这个软件呢?新手发问,谢谢指教
 楼主| 发表于 2010-2-9 09:14:24 | 显示全部楼层
还有就是设计的时候都需要考虑哪些参量呢?
发表于 2010-2-9 12:39:23 | 显示全部楼层
RAM的话,有工具可以生成,我们用的ARTISIAN的,也可以自己写,用寄存器堆来表示,容量小的话,建议用寄存器堆表示;ROM的话,据我了解是,流片的时候,把需要写进的数据给FOUNDRY厂,然后他们会帮搞定。
 楼主| 发表于 2010-2-9 14:31:21 | 显示全部楼层
谢谢楼上的,我需要存在ROM里面的数据大概也就一百多个,24位字长的。这样需要的芯片面积大吗?在我布局布线的时候需要考虑些什么呢?要预留一定的面积给它吗?还有就是如果ROM只能在流片的时候交给foundry厂来做,那么我用什么方法来仿真呢?还有就是时序这些问题~~~最近在考虑这个问题,脑子有点乱了~~~
发表于 2010-2-9 20:32:52 | 显示全部楼层
ROM仿真你还是用reg矩阵来模拟嘛,用initial语句+$readmemb任务初始化就成了呗。
发表于 2010-2-9 22:06:17 | 显示全部楼层
rom和ram一样都是要选对应制成的macro,比如上边提到的ARTISIAN就提供ram。
通常讲rom比较小,
流片的时候,rom里面的code确是交给foundry来做,但rom是APR是要画好的,这点和ram一样。
另外提醒一下,最好把rom code做在最上层的metal,以后改rom code就只要动最上面的metal,ECO的费用会少一些。
发表于 2010-2-9 23:31:20 | 显示全部楼层
用 memory compiler, like Artisan
 楼主| 发表于 2010-2-10 07:51:26 | 显示全部楼层
真是太感谢各位了,让我茅塞顿开哦
发表于 2010-8-31 20:46:31 | 显示全部楼层
mark !
发表于 2010-9-1 09:15:53 | 显示全部楼层
受教了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 08:02 , Processed in 0.026053 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表