在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3424|回复: 7

[求助] 各位朋友请帮忙看看这个模4计数器

[复制链接]
发表于 2010-1-22 13:35:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
这个程序有个地方看不太明白,就是什么时候“11”赋值给信号cnt。按理说应该是在一个延时后执行到end process语句时才完成11赋给cnt,但是我看作者的仿真图是在下一个时钟上升沿才将11赋值给cnt,难道这样一个延时恰好是一个时钟周期吗?感觉很疑惑啊,请各位解答下,谢谢


SIGNAL cnt      : STD_LOGIC_VECTOR(1 DOWNTO 0);
BEGIN
    addr <= cnt;
    PROCESS(clk,clr)
    BEGIN
        IF clr = RESET_ACTIVE THEN
            cnt <= "00";
            cout <= '0';
        ELSIF rising_edge(clk) THEN
            IF cnt = "10" THEN
                cnt <= "11";
                cout <= '1';
            ELSE
                cnt <= cnt + '1';
            END IF;
        END IF;
    END PROCESS;
END behave;
 楼主| 发表于 2010-1-22 13:59:36 | 显示全部楼层
哪位知道的朋友帮忙解释下哦
发表于 2010-1-22 14:41:49 | 显示全部楼层
这个是异步复位的2bit计时器,cnt在每个时钟周期的上升沿+1,当cnt=10的时候,输出cout变为高电平。 此时cnt赋值为11.
发表于 2010-1-22 14:57:26 | 显示全部楼层
好似出错了,cout大约变1后就变不回了0了.
发表于 2010-1-22 16:15:27 | 显示全部楼层
4楼说的对
发表于 2010-1-24 16:55:23 | 显示全部楼层
见习生聆听
发表于 2010-1-25 14:53:41 | 显示全部楼层
计数满后再回零重新计数,这样才能循环
发表于 2010-1-28 14:44:23 | 显示全部楼层
上述大大的見解都十分好喔!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 00:53 , Processed in 0.027420 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表