|
|
楼主 |
发表于 2009-10-26 15:09:02
|
显示全部楼层
2# handucheng
楼上的朋友,先谢谢你正确的解答,我现在已经找到了IO库中的VERILOG文件,里面确实定义了许多module,但我现在的问题是:无法区别哪些是我想要的,比如输入PAD.以下是我从程序中考贝出来的一小段程序:
`celldefine
`delay_mode_path
`suppress_faults
`enable_portfaults
`timescale 1 ns / 10 ps
module PANA1AP (PAD);
inout PAD;
parameter ExtLoad = 50.0 ;
`ifdef NOTIMING
`else
specify
specparam cell_count = 0.000000;
specparam Transistors = 0 ;
endspecify
`endif
endmodule
`nosuppress_faults
`disable_portfaults
`endcelldefine
该程序定义的是什么呢 是这些东西吗 另外我以将IO库中的VERILOG文件上传于附件中。该文件定义了很多MODULE,但我看不懂这些,也不会区分。希望你能进一步指导。 |
|