在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 童黄

怎么修复max_trans 。。

[复制链接]
 楼主| 发表于 2009-10-12 09:53:25 | 显示全部楼层


是smic库,SP013D3库。其实还有个是SP013W的pad库,但是pad的VSS有drc问题,所以就用这个D3的库,不知道你有没有听过。
不知道您说的sdc set_load是什么意思,请详细说明,再次谢过了。
发表于 2009-10-12 12:31:59 | 显示全部楼层
10# devopetent 在TIMING SETUP里把MAX TRANSITION 设的小小的,
 楼主| 发表于 2009-10-13 09:36:58 | 显示全部楼层


10# devopetent 在TIMING SETUP里把MAX TRANSITION 设的小小的,
blue1101 发表于 2009-10-12 12:31


这个一般设置为0吧。。。
 楼主| 发表于 2009-10-13 09:41:00 | 显示全部楼层


还有一种可能,约束有问题,需要检查一下路径,实在不行就手工修改吧。
ecijun 发表于 2009-10-9 19:32


我查了下,一个是pll信号,还有事power_good信号,reset信号,本身变化不多,基本是个常量,但它后边跟的路径挺多,所以驱动需求就大了。不知道有没有办法,可以在sdc中设置不检查这个路径,或者有什么办法先布置相关的这个信号。请指导。。
发表于 2009-10-13 19:37:03 | 显示全部楼层
能不能把你的几个约束写上来看看,感觉应该是约束不合理造成的,不然不应该违背这么大。
 楼主| 发表于 2009-10-14 11:52:32 | 显示全部楼层


能不能把你的几个约束写上来看看,感觉应该是约束不合理造成的,不然不应该违背这么大。
hitten 发表于 2009-10-13 19:37


说起来,惭愧不已啊,本人对于怎么设置约束,一直懵懵懂懂,是前端做完DC后生成给我的,稍微改了点。
INST_Ppll_lock和其相连的invx U1violation,U1接的是port Ppll_lock
sdc中set_false_path -from [get_ports {Ppll_lock}]
set_drive rise min 20 [get_ports {Ppll_lock}]
set_drive fall min 20 [get_ports {Ppll_lock}]
set_drive rise max 20 [get_ports {Ppll_lock}]
set_drive fall max 20 [get_ports {Ppll_lock}]
其他两个类似
INST_Ppower_good
和其相连的invx U2 violation,U2接的是port Ppower_good
sdc中set_false_path -from [get_ports {Ppower_good}]
set_drive rise min 20 [get_ports {Ppower_good}]
set_drive fall min 20 [get_ports {Ppower_good}]
set_drive rise max 20 [get_ports {Ppower_good}]
set_drive fall max 20 [get_ports {Ppower_good}]

INST_Prst_n_in
发表于 2009-10-16 12:09:51 | 显示全部楼层
怎么只有驱动的设置,没有负载和其他DRC的设置吗?
 楼主| 发表于 2009-10-16 12:48:25 | 显示全部楼层


怎么只有驱动的设置,没有负载和其他DRC的设置吗?
hitten 发表于 2009-10-16 12:09


这两个pad直接相关的就这些了
在sdc中需要设置DRC?
发表于 2009-10-17 09:20:58 | 显示全部楼层
本帖最后由 leadwellfine 于 2009-10-17 10:23 编辑

18# 童黄
1)常量就不要设置set_drive或set_input_transition,必要时设置set_case_analysis;
2)输入定义在chip level的IO PAD上最好不要用set_drive和set_driving_cell,太抽象了,直接指定set_input_transition。输出定义在chip level的IO PAD上用set_load就可以了。cell的延时、output transition本来就是通过input transition和load两个参数查表得到。
3)report里面的与input IO相关的PAD和pin上的transition可以不关注,它是由输入transition和你选择的IO cell的性能决定的.与output IO相关的PAD和pin上的transition是一定要修的,但也要查看一下IO cell的性能,它的output transition可能达不到你规定的要求。
4)set_max_transition 0 current_design要求太严,设置一个稍微比符合实际的值严一点的值就可以了,要不然PR过程中会插入很多buffer去修transition。
 楼主| 发表于 2009-10-17 12:05:15 | 显示全部楼层
谢谢,受教了。还有几个问题,想请教下。
1)如果由DC写出来的sdc,后面版图布局布线的时候,修改这个sdc,应该没有关系吧。
2)另外工艺不同,transition是直接最受影响的参数么。
3)如果在sdc中我注释掉了set_max_transition,会影响布的效果么,或者更难修复?
4)用astro时,在post_place修复好了,route效果很差,而且hold怎么也修不过来,可以手动修复么,不知道您有什么建议。
再次谢过。
19# leadwellfine
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 14:17 , Processed in 0.031407 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表