在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4893|回复: 6

如何将一个信号延时10us后原样输出啊?(VHDL)

[复制链接]
发表于 2004-7-6 15:34:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我现在想把一个信号延时10us后原样输出,但是用Vhdl编写的程序老师不对:
entity delay_10 is
port(x : in std_logic; y ut std_logic);
end delay_10
architecture delay of delay_10 is
begin
    wait for 10 us;
    y <=x;
end delay
请大家指教啊!!!!!!!!!!!!!!!!!!!!!!!!!!!
发表于 2004-7-6 15:40:08 | 显示全部楼层

如何将一个信号延时10us后原样输出啊?(VHDL)

你需要一个100K的时钟信号,信号通过一级触发器,就延时10us了。
 楼主| 发表于 2004-7-6 15:48:25 | 显示全部楼层

如何将一个信号延时10us后原样输出啊?(VHDL)

请具体点,我是新手
为什么直接延时不行呢?
多谢!!!!
发表于 2004-7-6 16:16:23 | 显示全部楼层

如何将一个信号延时10us后原样输出啊?(VHDL)

这个语句是仿真用的,本身就不能硬件实现,最好引入一个时钟,然后计数延时。
发表于 2004-7-7 12:11:44 | 显示全部楼层

如何将一个信号延时10us后原样输出啊?(VHDL)

VHDL不会,verilog倒是知道的
假设timescale是1ns
always@* begin
    a_dly <= #10000 a;
end
楼主的意思应该本来就是用于仿真的吧,不要求可综合。
发表于 2004-7-11 20:16:53 | 显示全部楼层

如何将一个信号延时10us后原样输出啊?(VHDL)

To: 风云起
如果要求可综合该怎样实现呢
比如使用D触发器
发表于 2004-7-12 20:03:15 | 显示全部楼层

如何将一个信号延时10us后原样输出啊?(VHDL)

那就要用移位寄存器了,如果时钟频率是100MHz,那就要用1000个寄存器,应该考虑使用RAM,可以自己写控制逻辑,不过Altera FPGA中的M512和M4k、Xilinx FPGA中的LUT都可以配置成移位寄存器。
当然前提是待延时信号的翻转时间(上升沿和下降沿间的最小时间间隔)必须大于时钟周期,否则用时钟上升沿采不到。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 15:00 , Processed in 0.036967 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表