在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 8222|回复: 8

vhdl循环语句中赋值问题,谢谢

[复制链接]
发表于 2009-8-5 16:34:34 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
for i in 31 downto 0 loop
     if(sum(31)='0')
        then
             if(clk'event and clk='1')
             then
               sum1(31 downto 1)<=sum(30 downto 0);
            sum1(0)<='0'  ;
             end if;
            conter<=conter+1  ;
         else
              exit;
     end if;
msum<=msum1 ;
  end loop;
这是我写的程序的一部分,但是编译的时候报错,这部分的功能是将一个数的高位变为不为零,如果没有时钟信号不会错。希望哪位朋友能给个意见,先谢谢了。
发表于 2009-8-6 11:20:37 | 显示全部楼层
具体的错误提示呢?
发表于 2009-8-12 21:03:47 | 显示全部楼层
在for循环内部,是不能使用时钟触发沿的,你可以将时钟的取沿操作放在for循环的外面
发表于 2009-8-13 09:34:59 | 显示全部楼层
3楼正解
头像被屏蔽
发表于 2009-8-13 12:43:53 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
发表于 2009-8-13 14:48:10 | 显示全部楼层
3楼正解
头像被屏蔽
发表于 2009-8-13 15:08:19 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽
 楼主| 发表于 2009-8-13 17:03:47 | 显示全部楼层
谢谢三楼的朋友
发表于 2009-8-22 22:28:44 | 显示全部楼层
有好解就好
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 06:46 , Processed in 0.038551 second(s), 12 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表