在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6404|回复: 13

postsim的問題!!

[复制链接]
发表于 2003-12-9 14:57:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
各位大牛,請教個問題,我在做postsim的時候出現下列訊息:
run -all
# ** Error: (vsim-7) Failed to open SDF file "C:Modeltech_5.7fexamplesinterleaverinterleaver_postsiminterleaver_timesim.sdf" in read mode. --------------------------------------------這邊好像怪怪的@@
# No such file or directory. (errno = ENOENT)------------------這個檔案有阿??
# ** Fatal: (vsim-SDF-3445) Failed to parse SDF file "C:Modeltech_5.7fexamplesinterleaverinterleaver_postsiminterleaver_timesim.sdf".----------------------------------------------------------沒有/線有關係嗎?
#    Time: 0 ps  Iteration: 0  Process: /interleaver_tb/#i#93 File: C:/Modeltech_5.7f/examples/interleaver/interleaver_postsim/interleaver_tb.v
# Break at C:/Modeltech_5.7f/examples/interleaver/interleaver_postsim/interleaver_tb.v line 95
run
# Loading interleaver_timesim.sdf
# ** Fatal: (vsim-SDF-3445) Failed to parse SDF file "interleaver_timesim.sdf".
#    Time: 0 ps  Iteration: 0  Process: /interleaver_tb/interleaver_tb/#i#1791 File: C:/Modeltech_5.7f/examples/interleaver/interleaver_postsim/interleaver.v
# Break at C:/Modeltech_5.7f/examples/interleaver/interleaver_postsim/interleaver.v line 1791
run
run -all
# ** Note: $finish    : C:/Modeltech_5.7f/examples/interleaver/interleaver_postsim/interleaver_tb.v(91)
#    Time: 9078 ns  Iteration: 0  Instance: /interleaver_tb
# 1
# Break at C:/Modeltech_5.7f/examples/interleaver/interleaver_postsim/interleaver_tb.v line 91
下面是我引用sdf時的command:請問一下我是那邊出錯了嗎?
sdf檔案在檔案C:/Modeltech_5.7f/examples/interleaver/interleaver_postsim下
initial
begin
  $sdf_annotate("C:\Modeltech_5.7f\examples\interleaver\interleaver_postsim\interleaver_timesim.sdf",interleaver_tb);
end
发表于 2003-12-9 15:05:14 | 显示全部楼层

postsim的問題!!

将$sdf_annotate(“”,...)中的每一个'\'都用'\\'替代试一试。
 楼主| 发表于 2003-12-9 15:26:00 | 显示全部楼层

postsim的問題!!

厲害厲害~~
我真的只有一聲嘆息了!!!!
       真是非常感謝啦^^
 楼主| 发表于 2003-12-9 16:48:51 | 显示全部楼层

postsim的問題!!

在請問一下,改了之後出現這個問題~~
# Loading C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf(13): Failed to find INSTANCE '/map_decoder1_posttb/soc/L1/c1[8]/CYSELG_0'.
# ** Error: (vsim-SDF-3250)------------------------這些我根本不知道是啥@@
C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf(22): Failed to find INSTANCE '/map_decoder1_posttb/soc/L1/c1[8]/CYMUXG2_1'.
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf(35): Failed to find INSTANCE '/map_decoder1_posttb/soc/L1/c1[8]/CYMUXFAST_2'.
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf(45): Failed to find INSTANCE '/map_decoder1_posttb/soc/L1/c1[8]/CYAND_3'.
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf(54): Failed to find INSTANCE '/map_decoder1_posttb/soc/L1/c1[8]/FASTCARRY_4'.
# ** Warning: (vsim-SDF-3432) C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf: This file is probably applied to the wrong instance.
# Ignoring subsequent missing instances from this file.
# ** Warning: (vsim-SDF-3440) C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf: Failed to find any of the 47488 instances from this file.
# ** Warning: (vsim-SDF-3442) C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf: Try instance '/map_decoder1_posttb/tb'. It contains all instance paths from this file.
# ** Fatal: (vsim-SDF-3445) Failed to parse SDF file "C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf".
#    Time: 0 ps  Iteration: 0  Process: /map_decoder1_posttb/#i#219 File: C:/Modeltech_5.7f/examples/mapdecoder_postsim/map_decoder1_posttb.v
# Break at C:/Modeltech_5.7f/examples/mapdecoder_postsim/map_decoder1_posttb.v line 221
可不可以在麻煩幫忙一下~~@@
发表于 2003-12-9 17:11:16 | 显示全部楼层

postsim的問題!!

是不是SDF的作用范围不对?该SDF是作用到interleaver_tb还是应该作用到interleaver?
 楼主| 发表于 2003-12-9 19:17:14 | 显示全部楼层

postsim的問題!!

之前改的用來作interleaver_tb的postsim可以模擬,結果也正確,我照原法改另一個project的testbench : map_decoer_1_timesim.sdf 就出現了上面的錯誤~
我是不曉得從那邊看sdf對應到那個文件,下面是我在testbench中的寫法,
initial
begin
$sdf_annotate("C:\Modeltech_5.7f\examples\interleaver\interleaver_postsim\interleaver_timesim.sdf",interleaver_tb);
end
感覺像是對應到map_decoer1_posttb ???
发表于 2003-12-9 21:45:44 | 显示全部楼层

postsim的問題!!

最大的可能是读取的sdf文件与你指定的标注实例(instantiation)不符。
$sdf_annotate("C:\\Modeltech_5.7f\\examples\\mapdecoder_postsim\\map_decoer_1_timesim.sdf",map_decode_1_instantiation);
 楼主| 发表于 2003-12-10 01:22:47 | 显示全部楼层

postsim的問題!!

标注实例(instantiation)是指我的top module還是testbench~
我是寫testbench : map_decoder1_posttb
這樣對吧~~??
$sdf_annotate("C:\Modeltech_5.7f\examples\mapdecoder_postsim\map_decoer_1_timesim.sdf",map_decoder1_posttb );
发表于 2003-12-10 08:32:23 | 显示全部楼层

postsim的問題!!

我觉得应该是你的top module
 楼主| 发表于 2003-12-10 22:41:34 | 显示全部楼层

postsim的問題!!

我後來TRY了一下,寫法是沒錯啦(topmodule_tb),因為我用別的project來作,結果相同的步驟,別的project可以作後仿真,但是map_decoder_1這個就不行@@
# Loading C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf(19579): Failed to find INSTANCE '/map_decoder1_posttb/map_decoder1_posttb/soc/L2/m5/madd_axb_3_1535'.
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf(19592): Failed to find INSTANCE '/map_decoder1_posttb/map_decoder1_posttb/soc/L2/m5/madd_axb_2_1536'.
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf(19605): Failed to find INSTANCE '/map_decoder1_posttb/map_decoder1_posttb/soc/L2/m5/madd[4]/CYSELG_1537'.
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf(19614): Failed to find INSTANCE '/map_decoder1_posttb/map_decoder1_posttb/soc/L2/m5/madd[4]/CY0G_1538'.
# ** Error: (vsim-SDF-3250) C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf(19624): Failed to find INSTANCE '/map_decoder1_posttb/map_decoder1_posttb/soc/L2/m5/madd[4]/CYMUXG2_1539'.
# ** Warning: (vsim-SDF-3432) C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf: This file is probably applied to the wrong instance.
# Ignoring subsequent missing instances from this file.
# ** Warning: (vsim-SDF-3441) C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf: Failed to find 28916 out of the 173155 instances from this file.
# ** Fatal: (vsim-SDF-3445) Failed to parse SDF file "C:\Modeltech_5.7f\examples\MAP_decoder_postsim\RTL_code\map_decoer_1_timesim.sdf".
#    Time: 0 ps  Iteration: 0  Process: /map_decoder1_posttb/#i#219 File: C:/Modeltech_5.7f/examples/MAP_decoder_postsim/RTL_code/map_decoder1_posttb.v
# Break at C:/Modeltech_5.7f/examples/MAP_decoder_postsim/RTL_code/map_decoder1_posttb.v line 221

真的想不到還有哪裡有問題,沒辦法只好在從頭在試一遍看看囉@@
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 06:16 , Processed in 0.041195 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表