在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 3661|回复: 3

请教一个关于verilog delay的问题

[复制链接]
发表于 2008-8-29 13:45:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
assign #10 out = in1 & in2

  如果输入的脉冲宽度小于电路的延时(这个例子中是10个时间单位),那么这个脉冲不会影响输出。

比如在时间0, in1和in2都是0,在时间10, out变成1
在时间20, in1 0->1 , 但是在 时间25 in1 1->0. 但是因为脉冲宽度是5,小于10,
所以输出不会变化。

这个对应电路的什么特性? 有点没搞清楚
发表于 2008-8-30 11:31:06 | 显示全部楼层
#10这种延时只是对应与仿真中使用,是不可综合,后者说综合不会报错但是无法硬件下载实现延时,硬件电路实际上只会这样执行 assign out = in1 & in2;
发表于 2008-9-9 14:37:10 | 显示全部楼层
小于器件延时的脉冲被滤除。
仿真工具同样能仿真窄脉冲通过器件的情况,即设定仿真工具的延时模式为transparent模式(与inertial模式对应)。
发表于 2011-6-14 09:32:41 | 显示全部楼层
这叫物理器件在仿真模型中的惯性延时
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 23:04 , Processed in 0.028463 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表