在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 4184|回复: 6

原创:在Modelsim中查看VHDL代码中的变量波形

[复制链接]
发表于 2008-8-29 12:09:00 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
你是否一直在为看不到variable信号的波形而烦恼

(1)cpmpile工程文件;
(2)vsim work.you_top,即装载你的顶层设计;
(3)view菜单=>Debug Window=>Active Process,这时会看到一个窗口Active Process(活跃进程)中会列出工程中所有的Process;
(4)view菜单=>Debug Window=>Locals,这时会弹出一个窗口Locals;
(5)选中你需要查看变量的Process,根据行来查找,




添加波形的形式
add wave sim:/top_tb/line__684/i
即指定source code中包含变量的process所在的行号;
发表于 2009-3-10 12:36:00 | 显示全部楼层
eetopeetopeetopeetopeetop好东西,个人总结东西就特别有用
发表于 2009-3-10 13:34:53 | 显示全部楼层
ding yige ~~~~~~~~~
发表于 2009-3-11 09:06:55 | 显示全部楼层
好啊  
发表于 2009-11-13 17:11:15 | 显示全部楼层
Thanks
发表于 2009-11-13 17:51:30 | 显示全部楼层
结果对吗???
发表于 2009-11-13 21:58:43 | 显示全部楼层
向楼主学习了!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 02:49 , Processed in 0.054967 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表