在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9123|回复: 23

求教:Quartus+Modelsim的后仿真问题

[复制链接]
发表于 2008-5-11 15:57:17 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
小弟最近在学习Modelsim,在做后仿真时总会报错。小弟也浏览了众多的EDA论坛,发现和小弟有相同错误的朋友不在少数,可是没发现有一个好的解决办法。现将相关情况说明如下:
1、小弟的软件版本:Quartus II 6.0    +     Modelsim SE plus 6.0
2、例子选用的是夏宇闻老师《Veriog数字系统设计教程》中第二部分第九讲中的RISC_cpu的例子,并在modelsim中实现前仿真。
3、在Quartus中进行相关设置,器件选用Cyclone的FPGA,全编译通过。在simulation\modelsim下(即生成的.vo文件和.sdo文件所在目录)建立modelsim工程和Cyclone仿真库,在工程中添加顶层测试文件和.vo文件,在Start Simulation对话框中加载Cyclone仿真库和.sdo文件,在Apply to Region中指定为测试文件名/例化实例名(本例为cputop/t_cpu),运行仿真,出现如下错误:
# Loading F:/CPU/simulation/modelsim/cpu_v.sdo
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/cpu_v.sdo(35): Failed to find INSTANCE '/cputop/t_cpu/m_machine|inc_pc~I/lecomb'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/cpu_v.sdo(51): Failed to find INSTANCE '/cputop/t_cpu/m_machine|inc_pc~I/lereg'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/cpu_v.sdo(67): Failed to find INSTANCE '/cputop/t_cpu/m_register|opc_iraddr[14]~I/lecomb'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/cpu_v.sdo(83): Failed to find INSTANCE '/cputop/t_cpu/m_register|opc_iraddr[14]~I/lereg'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/cpu_v.sdo(107): Failed to find INSTANCE '/cputop/t_cpu/m_register|opc_iraddr[13]~I/lecomb'.
# ** Warning: (vsim-SDF-3432) F:/CPU/simulation/modelsim/cpu_v.sdo: This file is probably applied to the wrong instance.
# Ignoring subsequent missing instances from this file.
# ** Warning: (vsim-SDF-3440) F:/CPU/simulation/modelsim/cpu_v.sdo: Failed to find any of the 214 instances from this file.
# ** Error: (vsim-SDF-3445) Failed to parse SDF file "F:/CPU/simulation/modelsim/cpu_v.sdo".
#    Time: 0 ps  Iteration: 0  Region: /cputop  File: F:/CPU/cputop.v
# Error loading design
        另外,网上有朋友指出勾选SDF中的两个选项,将原来的警告禁止,将原来的错误变为警告,小弟试了一下,可以成功地进行反标注并运行仿真,但总觉得这样做似乎并没有解决实际问题,只不过是把错误忽略了。
    小弟想请教一下:出现这种错误的原因是什么?如何处理这种错误?
    希望大家能够各抒己见,广泛交流,共同进步;
    更希望做过这方面研究的大侠们能够不吝赐教,给我们这些后来人指点迷津。
    小弟在此先拜谢了!!!
 楼主| 发表于 2008-5-11 15:59:27 | 显示全部楼层
自己先顶起!
发表于 2008-5-12 11:58:57 | 显示全部楼层
在Apply to Region中指定为测试文件名/例化实例名(本例为cputop/t_cpu)?
是不是这步出错了
 楼主| 发表于 2008-5-12 14:37:04 | 显示全部楼层


在Apply to Region中是不是应该这样设置,在“/”前填写顶层测试文件名,“/”后填写在顶层测试文件中引用顶层模块时给顶层模块起的实例化的名称?

小弟的顶层模块截图如下:

cpu.bmp

顶层测试模块的截图如下:

cputop.bmp

请问在Apply to Region中填写cputop/t_cpu正确吗?
恳请大侠指点迷津。
发表于 2008-5-12 14:47:13 | 显示全部楼层
cputop是顶层模块么,还是Testbench?
应该是指出顶层模块在Testbench中的路径
 楼主| 发表于 2008-5-12 21:01:44 | 显示全部楼层


原帖由 wangsheng0415 于 2008-5-12 14:47 发表
cputop是顶层模块么,还是Testbench?
应该是指出顶层模块在Testbench中的路径



cputop是顶层测试模块,也就是Testbench,用于测试cpu模块。那这样指定cputop/t_cpu是否正确呢?这个问题困扰我好长时间了。
发表于 2008-5-13 11:30:23 | 显示全部楼层
把cpu_v.sdo改成t_cpu.sdo试试看,命名一致
 楼主| 发表于 2008-5-13 13:45:42 | 显示全部楼层
小弟又重新做了一遍,还是不成啊。错误代码如下:

# Loading F:/CPU/simulation/modelsim/t_cpu.sdo
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/t_cpu.sdo(35): Failed to find INSTANCE '/cputop/t_cpu/m_machine|inc_pc~I/lecomb'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/t_cpu.sdo(51): Failed to find INSTANCE '/cputop/t_cpu/m_machine|inc_pc~I/lereg'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/t_cpu.sdo(67): Failed to find INSTANCE '/cputop/t_cpu/m_register|opc_iraddr[14]~I/lecomb'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/t_cpu.sdo(83): Failed to find INSTANCE '/cputop/t_cpu/m_register|opc_iraddr[14]~I/lereg'.
# ** Error: (vsim-SDF-3250) F:/CPU/simulation/modelsim/t_cpu.sdo(107): Failed to find INSTANCE '/cputop/t_cpu/m_register|opc_iraddr[13]~I/lecomb'.
# ** Warning: (vsim-SDF-3432) F:/CPU/simulation/modelsim/t_cpu.sdo: This file is probably applied to the wrong instance.
# Ignoring subsequent missing instances from this file.
# ** Warning: (vsim-SDF-3440) F:/CPU/simulation/modelsim/t_cpu.sdo: Failed to find any of the 214 instances from this file.
# ** Error: (vsim-SDF-3445) Failed to parse SDF file "F:/CPU/simulation/modelsim/t_cpu.sdo".
#    Time: 0 ps  Iteration: 0  Region: /cputop  File: F:/CPU/cputop.v
# Error loading design

哎,郁闷中……
发表于 2008-5-13 15:03:19 | 显示全部楼层
我记得以前也出现过类似问题,好像是命名问题。
你重新生成t_cpu.sdo看看
 楼主| 发表于 2008-5-13 17:52:51 | 显示全部楼层


原帖由 wangsheng0415 于 2008-5-13 15:03 发表
我记得以前也出现过类似问题,好像是命名问题。
你重新生成t_cpu.sdo看看



是重新生成.sdo文件然后再重命名吗?Quartus全编译以后生成的.sdo文件名是默认的吧?小弟又重新生成.sdo文件然后再重命名为t_cpu.sdo,并重新做了一遍后仿,还是同样的错误。不过小弟在重做的过程中发现了一个新的问题,在编译Cyclone仿真库的其中一个文件时,命令窗口提示出现如下错误:

Cyclone1.bmp

再重新编译一遍,命令窗口显示如下信息:

Cyclone2.bmp


也就是说连续两次执行vcom -reportprogress 300 -work Cyclone {D:/Program Files/QuartusII6.0/eda/sim_lib/cyclone_components.vhd}这个命令时,结果不一样。所以我怀疑是不是Cyclone仿真库的问题?
以前都是连续编译仿真库两次,没太注意过命令窗口的提示,恳请高手指教。

[ 本帖最后由 chijiuziyou 于 2008-5-13 18:50 编辑 ]
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 13:58 , Processed in 0.039153 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表