在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 16026|回复: 18

【求助】DC综合出来的clock和reset模块为unmapped

[复制链接]
发表于 2008-4-5 11:43:51 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
首先介绍下情况
1. 对多路选择的multiple clock, 已经设置了set_case_analysis并且disable_timing了
2. 对分频的时钟都在分频寄存器的Q端 create_generated_clock
3. 门控时钟没加什么constraints
4. clock和reset的module都设置了dont_touch, set_ideal_network,以及set_drive 0

问题是:
DC synthesis的结果是clock和reset module都是unmapped cell,其它cell都正常, 看violator report里面的critical path, 时钟的period, latency, uncertainty等attribute都对着, 就是不知道为什么时钟和复位module都是unmapped cell呢? 网表里面这两个module都是GTECH的东西,确实没有mapping到technology library里面的gate cell。

部分网表如下:

//这里本来应该是个register的
  \**SEQGEN**  gate_en3_reg_0_ ( .clear(N9), .preset(1'b0), .next_state(
        gate_en), .clocked_on(N13), .data_in(1'b0), .enable(1'b0), .Q(
        gate_en3[0]), .synch_clear(1'b0), .synch_preset(1'b0), .synch_toggle(
        1'b0), .synch_enable(1'b1) );

//这里应该是个mux
  SELECT_OP C79 ( .DATA1(pll_fout1), .DATA2(clk_ext1), .CONTROL1(N0),
        .CONTROL2(N1), .Z(clk_main) );

请各位大侠指教:  
1)时钟和复位module为什么会是unmapped cell呢?是不是我对时钟和复位的约束不对?
2)如果这个情况是正常的,我怎么仿真呢?把网表里面的clock和reset删掉,换成behavior rtl code来仿真可以不?不然GTECH的东西有相应的simulation model吗?
发表于 2008-4-5 19:23:06 | 显示全部楼层
你小子呀?不是下周台湾人就会来帮你们搞定这个design么?到时候,怎么解决的,一定要告诉我啊!
发表于 2008-5-20 20:20:50 | 显示全部楼层
我也遇到了相似的问题,还望高手指点.
发表于 2009-8-15 13:37:21 | 显示全部楼层
dont_touch设置有问题啊。你设置了这个东西,他就不给你MAP了
发表于 2009-8-15 14:28:00 | 显示全部楼层
thank you
发表于 2009-8-16 20:40:23 | 显示全部楼层
谢谢啊····
发表于 2009-8-17 00:30:45 | 显示全部楼层
i've encountered this before, but that's caused by the librar file do not have a flip-flop instead.
发表于 2009-8-22 21:48:40 | 显示全部楼层
感謝分享啦
发表于 2009-8-24 16:04:33 | 显示全部楼层
曾经遇到过类似问题,看看解决方法。多谢lz
发表于 2009-8-24 22:31:53 | 显示全部楼层
也关注一下
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 17:38 , Processed in 0.028017 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表