在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] DDR入门及时序分析 attachment  ...23456..11 foveryoung 2010-11-10 10425675 binnq 2024-2-4 16:40
[原创] [原创首发] CMOS超大规模集成电路设计(第3版) attachment  ...23456..11 brickisku 2012-3-14 10423063 ni2121 2021-12-5 23:48
[原创] modelsim se 6.5e_linux 软件和安装教程 attach_img  ...23456..11 nazhaahai 2012-6-8 10429287 ee_zgy 2020-10-31 20:31
[原创] 2011年新书 Xilinx可编程逻辑器件设计与开发(基础篇)【高清版】 attachment  ...23456..11 sevenprince 2012-8-14 10420641 freebigfish 2018-7-18 16:59
[原创] 轻松成为设计高手:VERILOG HDL实用精解 attachment  ...23456..11 wutianqiao1992 2021-1-17 10434825 xieewawa 2024-3-16 12:08
[原创] 【Newnes 2003 好书】Principles of Functional Verification attachment  ...23456..11 benemale 2008-6-25 10318701 szdgsz 2021-8-23 14:19
[原创] 清华版模拟电子技术基础视频教程!!华成英教授主讲!!超爽! 有图为证~~ attach_img  ...23456..11 wangxp0505 2010-11-14 10330905 cqcqlee 2020-7-27 19:01
[原创] 关于setup和hold的一点小总结 attachment digest  ...23456..11 nano_dv 2013-4-16 10333020 wangli_peking 2023-3-6 21:29
[原创] VCS Nanosim联合仿真 attachment digest  ...23456..11 johnli330 2015-6-29 10440026 binnq 2024-4-19 10:42
[原创] 《集成电路产业全书》,院士王阳元主编 attach_img  ...23456..11 wutianqiao1992 2021-1-17 10344920 binnq 昨天 14:47
[原创] DC最新user guide 和 VCS最新user guide attachment  ...23456..11 踏燕马 2016-8-24 10228077 binnq 2024-1-26 13:14
[原创] USB3.0 spec完整中文翻译版加注释 attachment  ...23456..11 gghr 2020-11-19 10220948 Emmet_73 2024-1-8 23:51
[原创] 数字集成电路设计流程(通俗易懂) attachment digest  ...23456..11 Tonyhai 2010-12-30 10131403 品博锦取_2021 2024-3-8 10:35
[原创] Active HDL 9.1 完美破解(只含Crack) attach_img  ...23456..11 船长513 2013-8-25 10130068 tianfei23 2018-12-23 10:36
[原创] 《数字集成电路:电路、系统与设计( 第2版)》115MB高清版  ...23456..11 pwang7 2021-11-21 10113174 品博锦取_2021 2024-4-12 10:57
[原创] 【Morgan Kaufmann 2007 新书】ESL Design and Verification - [阅读权限 10]attachment  ...23456..10 benemale 2008-6-3 99743 tnaig 2021-4-25 11:29
[原创] 给大家一个下载modelsim_se_10.1c各个版本的地址!!!!!  ...23456..10 clmlplg 2012-12-24 9923193 standany 2019-4-17 15:49
[原创] 异步电路设计原理--系统透视(英文版) attachment  ...23456..10 邢拓 2014-6-15 9916109 touby 2021-5-14 15:37
[原创] 【最新的SystemVerilog标准】IEEE Standard for SystemVerilog 1800TM - 2009 attachment  ...23456..10 lotusky 2010-4-25 9827259 dreamfly123123 2023-3-27 18:06
[原创] 【Springer 2010 新书】CMOS Processors and Memories attachment  ...23456..10 lotusky 2010-8-23 9721052 sky2010cn 2021-7-5 09:59
[原创] 【华为_大规模逻辑设计指导书】,FPGA设计中的一些经典问题,有很多实例讲解 attachment  ...23456..10 edta2009 2012-4-25 9615886 求学的萤火虫 2018-6-2 13:57
[原创] FPGA应用开发从实践到提高-中文版 attachment  ...23456..10 A1985 2010-5-27 9314737 tang1009749133 2014-12-17 18:34
[原创] 个人资料共享 DFT设计 FPGA设计 VCS介绍 VERDI介绍 信号完整性分析 attachment  ...23456..10 zhtxwd 2011-7-28 9219633 iNostory 2018-4-11 09:42
[原创] ee214 上的gm/id设计方法在cadence中实现 attachment  ...23456..10 wujunlongA 2012-4-21 9225587 漫漫漫 2022-7-5 10:42
[原创] 『三味书屋』北航夏宇闻书籍专辑 - [阅读权限 5]attachment  ...23456..10 benemale 2011-2-1 911109 QQben 2018-7-19 10:57
[原创] PrimeTime SI Workshop attachment  ...23456..10 ytlin0 2011-9-2 9126854 18812436116 2024-1-31 11:21
[原创] 最新版本《深入理解计算机系统 第三版》 (高清版 全彩色图文)! attachment  ...23456..9 eaglesmiling 2018-7-6 8926040 xdrxdr 2023-11-24 09:18
[原创] 基于FPGA远程系统升级(工程已实现源码文档说明)(altera EP4SGX230) attachment  ...23456..9 林间醉梦 2015-5-22 8824348 !5236860 2022-6-1 14:27
[原创] SMIC.18工艺库, 包括IO,STD,PLL等 attach_img  ...23456..9 xdpeter 2013-2-20 8731461 dmf336 2023-10-20 11:17
[原创] 华为静态时序分析与逻辑设计.pdf attachment  ...23456..9 bsliu2008 2010-4-8 8614844 wcddv123 2017-11-29 23:03
[原创] modelsim 6.2&6.5完美破解版 attachment  ...23456..9 xiaoangie 2010-4-29 8637440 ee_zgy 2021-3-20 11:07
[原创] 新书 Advanced Techniques in Logic Synthesis, Optimizations and Applications attachment  ...23456..9 lotusky 2010-12-18 8618574 satyaneos 2020-7-24 11:45
[原创] FPGA-Based Prototyping Methodology Manual attachment  ...23456..9 jiancongwoo 2011-9-14 8518269 binnq 2024-4-25 09:16
[原创] 2013 spring新书:VLSI-SoC From Algorithms to Circuits and System-on-Chip Design attach_img  ...23456..9 lvwei_1024 2013-12-7 8518020 icroad 2021-4-11 21:22
[原创] 华为 高速数字电路设计教材 中英文對照 attachment  ...23456..9 jack.chen.cn 2010-5-13 8421822 zhsh94 2020-12-15 08:12
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 03:09 , Processed in 0.022754 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块