在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 11 |主题: 20903|排名: 16 

[资料] 发个tcl manual 给大家方便方便 attachment  ...2 angusyin 2012-4-4 104015 zehcoolb 2017-7-17 09:20
[资料] 哪位大侠有multi-VDD的workshop?DC,PT,ICC的都行,谢过 xilinx_zhao 2012-2-4 02183 xilinx_zhao 2012-2-4 01:48
[资料] 弱问: useful_skew可以局部使用, 甚至只给某几条path用吗? chris_li 2012-1-18 42845 williamliwei 2012-3-24 19:11
[资料] formality XIDIANCAD2 2012-1-10 01715 XIDIANCAD2 2012-1-10 19:31
[资料] DC XIDIANCAD2 2012-1-10 41853 XIDIANCAD2 2012-1-11 14:33
[资料] DC和PT问题 XIDIANCAD2 2012-1-9 01741 XIDIANCAD2 2012-1-9 17:46
[资料] PT分析版图后时序时用spef文件好还是用sdf文件分析好  ...2345 XIDIANCAD2 2012-1-9 4124811 dang64088 2022-8-22 16:49
[资料] PT时序分析时出现了max_capacitance 和max_transition violator,怎么处理呀? XIDIANCAD2 2012-1-7 96042 fangwang85 2014-9-27 10:12
[资料] ubuntu安装DC的文档 attachment kobefly225 2011-11-26 53565 jingtian0317 2011-12-18 10:59
[资料] 驱动ADC的放大器 attachment lqshan.st 2011-11-4 42567 ralphtw 2014-6-29 17:37
[资料] 密码芯片的侧信道安全性分析和量化评估 attachment agree zjl840928 2011-10-24 42407 pepsizh 2023-3-5 11:17
[资料] 求解:timing signoff 是后端的哪一步? 531472320 2011-10-23 63587 luosuhua2008 2020-7-1 17:47
[资料] AMS数字模拟混合仿真 Andreatong 2011-10-21 53400 liuxun1987 2012-2-16 20:45
[资料] Laker_32v4 489315174 2011-10-16 21499 tlhuang168 2014-7-19 16:32
[资料] 一些后端方面的问题——版主继续带领大家积极讨论啊(共105题) digest  ...23456..7 szp9912 2011-9-28 6637287 zackliu 2024-4-15 16:33
[资料] [已解决]求助,关于set_noise_immunity_curve的命令 estyzq 2011-8-23 01672 estyzq 2011-8-23 12:46
[资料] From Solvnet: set_app_var and set Command in a Procedure. jiancongwoo 2011-7-9 115327 qingx_j 2011-7-11 09:07
[资料] astro软件 aabc198209 2011-6-27 03051 aabc198209 2011-6-27 14:03
悬赏 [资料] 求ASTRO2007 的 LAB GUIDE(500信元) - [悬赏 2000 信元资产] attachment  ...2 strivenbu 2011-6-14 166259 jeffej 2015-3-4 17:51
[资料] 集成电路工艺流程 attachment 北极星之北边 2011-4-20 63257 lodestar6666 2011-4-24 18:47
[资料] 介绍一款非常好用的库特征化工具 attachment langwei01 2011-4-13 69245 icfbicfb 2014-12-25 10:52
[资料] Calibre Verification User’s Manual alisone 2011-2-24 43090 leoraja 2011-10-18 02:05
[资料] IC咨询 igooo 2011-2-16 43177 mors76 2011-2-18 21:42
[资料] EPBMX-COM蓝牙模块_V2.0 cn_chun 2011-1-12 22554 61ping 2012-4-17 15:49
[资料] Linux系统命令及其使用详解.doc attachment  ...23456 ch_5588 2011-1-8 5310762 新手小财 2016-8-25 19:51
[资料] The Compiler Design Handbook attach_img gaconht 2010-12-14 45510 uqmeuqmeuqme 2010-12-15 10:40
[资料] DC的一些资料[请删帖,别人发过了] attachment wu_xiaolin_110 2010-11-25 72334 丁艳 2010-12-3 18:50
[资料] vim使用手册 attachment  ...2 cloudiness 2010-11-15 163445 hanxiong99 2014-4-10 15:22
[资料] CMOS低噪声放大器的设计 pan520530 2010-10-11 21952 taoxiaoyanyong 2012-9-16 12:44
[资料] voltagestorm和celtic的userguide attachment  ...23 empty_085 2010-9-24 257226 Daiisy 2017-3-7 17:05
[资料] icc tie-off 加不进去,还会产生assign?? zh123456789 2010-9-11 87998 nguyenphucvy 2017-4-20 14:34
[资料] 敝人后端设计两年,创建一群95103816。交流SOC PR。(非诚勿扰) zh123456789 2010-9-11 43191 lyphoon 2011-1-19 16:46
[资料] 关于分频时钟generated_clock在CTS前后的处理,sdc约束应如何写呢?? zh123456789 2010-9-4 02868 zh123456789 2010-9-4 13:34
[资料] 用icc route之后看不见metal??? zh123456789 2010-9-2 02237 zh123456789 2010-9-2 14:47
[资料] leda 的workshop 哪位大哥有? zh123456789 2010-7-20 42677 辛中臣 2015-11-29 12:55
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 15:08 , Processed in 0.020115 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块