在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
芯片精品文章合集(500篇!)    创芯人才网--重磅上线啦!
查看: 5439|回复: 1

求助:2410 iic的eeprom怎样读写?

[复制链接]
发表于 2007-5-18 11:25:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问s3c2410的iic 端口在写eeprom时寄存器如何配置?我这样写为什么读不到数呢?显示读数均为0xff!哪位大侠告诉我一下呀

我用的eeprom是at4aa256

void iic_write(U32 slvAddr,U32 addr,U8 data)
{
      
  rIICADD = slvAddr;  
  rIICDS =  slvAddr;
  rIICCON = 0xaf;
  rIICSTAT = 0xf0;
    Delay(1);

  rIICDS = addr;
  rIICCON = 0xaf;
Delay(1);
rIICDS = data;
rIICCON = 0xaf;
Delay(1);
   
rIICSTAT = 0xd0;
rIICCON = 0xaf;
Delay(5);  
   
  }


void iic_read(U32 slvAddr,U32 addr,U8 *data)
{
    char cRecvByte;
   
     rIICADD = slvAddr;   
    rIICDS = slvAddr;
    rIICSTAT = 0xf0;
    Delay(1);
   
  rIICDS =  addr;
rIICCON = 0xaf;
  Delay(1);

rIICDS = slvAddr;
rIICSTAT = 0xb0;
rIICCON = 0xaf;
Delay(1);
   
//get data
cRecvByte = rIICDS;
rIICCON = 0x2f;
  Delay(1);

//get data
cRecvByte = rIICDS;

//end receive
rIICSTAT = 0x90;
rIICCON = 0xaf;
   Delay(5);

* data = cRecvByte;

      
}
void iic_test()
{
   U8 data[10];
   int i;
   i=0x0;   
   Uart_Printf("iic_test\n");
   
   
  // rINTMOD = 0x0;
//  rINTMSK &= ~(0x1<<27);
  // pISR_IIC = (unsigned)iic_int;                                                                                                                                    
   
   
for(i=0;i<10;i++)
       {
         Uart_Printf("iic_write  %x\n",i);
         iic_write(0xa0,i,i);
          Delay(5000);  
        }
  
   
   for(i=0;i<10;i++)
       {
        data=0x55;
        }
        
   i = 0;     
   
     for(i=0;i<10;i++)
     {
        iic_read(0xa0,i,&(data));
      Uart_Printf("iic_read %x = %x\n",i,data);
      Delay(5000);
      }  
      
}

发表于 2009-4-1 20:16:32 | 显示全部楼层

你知道是什么问题了吗?

你知道是什么问题了吗?
我现在也遇到这样的问题,告诉我是什么问题啊
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-11 13:37 , Processed in 0.024918 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表