在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (130) |订阅

后端讨论区 今日: 0|主题: 21058|排名: 6 

[讨论] standard cell jerry66666 2014-7-10 11020 oitop 2014-7-10 12:25
[原创] LEF是什么意思啊 shi6091591142 2014-7-11 13632 icfbicfb 2014-7-11 10:19
[求助] 求助用spectre代码进行仿真该如何求动态功耗呢? arch_devil 2014-7-11 11939 wwweit 2016-7-2 18:18
[求助] 求TSMC的reference flow或guideline herrzhou 2014-7-14 12477 Desingman 2017-5-28 03:45
[求助] DC无法显示图形界面怎么办 linghuqiubai 2014-7-16 12099 linghuqiubai 2014-8-14 17:21
[求助] 怎样约束同时作为clock、data用的信号? shuanghx 2014-7-16 11797 icfbicfb 2014-7-17 10:40
[求助] 请教formalitym读取文件问题 wlw98 2014-7-19 11185 my2817 2014-7-20 10:44
[求助] assemble后connect问题!!!!!!!!!!!!!!!!!!! yic2000 2014-7-21 1901 icfbicfb 2014-7-21 16:27
[求助] DC中report_area给出的是等效门数还是面积? linghuqiubai 2014-7-21 12902 猪孩宝宝 2015-11-10 09:34
[原创] refinePlace 和 ecoPlace 有什么区别啊 zihao111 2014-7-21 13976 icfbicfb 2014-7-22 07:10
[求助] 在线求助:PEX提取寄生参数问题 attach_img 清水行者 2014-7-22 11306 清水行者 2014-7-22 20:38
[求助] 求教大神siliconsmart中internal_power问题 双向车站 2014-7-24 12668 wyb1012655611 2021-3-8 17:20
[求助] 高速版图设计 pgx133 2014-7-25 11508 icfbicfb 2014-7-28 09:19
[求助] 用vcs加密的verilog文件,可以用ncverilog仿真吗? zhxf00 2014-7-28 12966 xieqq 2014-7-28 14:34
[求助] ecoaddrepeater 如何使用 wpbuaa 2014-7-28 12708 icfbicfb 2014-7-28 17:42
[求助] Calibre pex在做提取时的温度怎么设定 oitop 2014-7-30 12115 jeffej 2018-7-8 11:43
[调查] 请问成都有哪些单位要招数字后端? rzls007 2014-7-31 12076 cjl_long 2014-8-25 23:27
[求助] pt报的hold/path violate违例 wpbuaa 2014-7-31 11500 王不动 2014-7-31 11:23
[求助] 请教pt对tie high, tie low的反标 snowzx 2014-7-31 11389 icfbicfb 2014-8-1 10:47
[求助] DC/FM hierarchical flow issue pastchen 2014-8-4 11194 pastchen 2014-8-4 14:31
[求助] 求助,encounter发现geometry违例【已解决】 ICSYS 2014-8-4 11515 icfbicfb 2014-8-5 10:47
[求助] 我insert dft后gating的TE端还是接0,并且gatint被mux bypass。为什么呢? wuyingpan06 2014-8-5 11755 tianyin20002008 2014-8-14 23:53
[求助] DC中怎么在两个cell之间加一个cell zjt412 2014-8-5 11369 jinwei91 2014-8-5 16:05
[求助] 修改netlist并新生成pattern后,为何仿真错误 zjt412 2014-8-6 11466 junqiang0223 2014-8-19 21:53
[求助] partitation的Design如何CTS yic2000 2014-8-6 11197 icfbicfb 2014-8-6 18:03
[求助] ICC中利用脚本将寄存器组按照一定的阵列摆放 渐行渐远 2014-8-7 11531 icfbicfb 2014-8-8 07:39
[求助] 不同track的标准单元功耗比较 yic2000 2014-8-15 12201 icfbicfb 2014-8-15 12:40
[求助] Virtuoso chip editor是个什么东西? damonzhao 2014-8-15 11164 icfbicfb 2014-8-16 01:08
[求助] assemble后OPEN和天线错误 yic2000 2014-8-15 1815 icfbicfb 2014-8-16 01:07
[原创] 回答是没有结果 fky490903 2014-8-15 1960 fky490903 2014-8-17 22:39
[求助] PT一条路径对多个slack yic2000 2014-8-16 11147 icfbicfb 2014-8-17 08:31
[求助] dft约束的问题 AveryYoung 2014-8-17 11172 zero_0 2014-8-18 10:05
[求助] spi_slave综合约束 justsfy2013 2014-8-18 11465 chrisdy 2016-3-30 15:14
[招聘] 初级数字IC工程师实战培训课程 fangyuyuan6666 2014-8-18 11901 fangyuyuan6666 2014-9-3 15:48
[讨论] [已解决]starrc13年以后的版本没有linux包,如果用redhat怎么办呢? dennisi123 2014-8-18 11177 dennisi123 2014-8-21 22:39
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-12 01:38 , Processed in 0.064156 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块