在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (129) |订阅

后端讨论区 今日: 22 |主题: 21015|排名: 7 

[求助] 加dummy抽rc 时序变差  ...2 shannon_z 2024-4-18 11604 shannon_z 2024-4-22 11:29
[求助] 我想问一下CLOCK SKEW的问题  ...23 djim2002 2012-8-4 2213273 jasmine_xiaoliu 2024-4-22 09:31
[求助] DC查看原理图显示超过限制 attach_img zz9868 2024-4-21 0272 zz9868 2024-4-21 23:06
[求助] 急急急,马上要流片了,DRC检查出一个density的错误,要不要修改  ...2 zhanggd 2014-6-27 178733 ICLearning 2024-4-21 15:32
[求助] ICC cannot open child cell xxx,(viewName FRAM). LuckyEDAZ 2024-4-21 1188 LuckyEDAZ 2024-4-21 12:42
[求助] Calibre ERC nxwell float is not connected to POWER LuckyEDAZ 2024-4-20 2324 LuckyEDAZ 2024-4-20 21:36
[资料] dwc_lpddr54_phy_pub_databook attachment  ...234 deepakec028 2021-7-30 308034 高甜笋 2024-4-20 18:03
[资料] DC 综合很好的资料 attachment  ...2 zhuzhiqi 2015-7-22 184592 ic886 2024-4-20 16:00
[资料] dc 综合脚本 attachment  ...2 zhuzhiqi 2015-7-24 175249 ic886 2024-4-20 15:55
[求助] innovus有没有从端口进来先走一段直线的命令 attach_img hypo029 2024-4-12 4365 hypo029 2024-4-20 15:26
[求助] ICC 跑lvs的时候ERROR Child cell xxx.FRAM does not exist LuckyEDAZ 2024-4-20 0175 LuckyEDAZ 2024-4-20 13:43
[原创] 个人写的tcl脚本方便dc分析 attachment digest  ...23456..7 jianway 2013-1-11 6624370 ilxsh 2024-4-20 10:17
[求助] 产生时钟树的问题 attach_img nuobeierjiang 2024-4-20 1292 nuobeierjiang 2024-4-20 05:23
[求助] siliconsmart 软件自带例子跑不通,无法进行 characterize $cells  ...2 食物 2021-3-2 123808 数字ICzjzhou 2024-4-19 22:19
[求助] 版图中PMOS衬底和源极该如何相连,calibre lvs报错 新人帖 attach_img TES 2024-1-8 7645 222我 2024-4-19 22:02
[求助] starrc是否可以提取DSPF网表 新人帖 单车镜头毁三代 2024-4-19 0238 单车镜头毁三代 2024-4-19 20:19
[求助] innovus SRoute相关问题 suyiBoy 2024-4-18 6307 suyiBoy 2024-4-19 17:50
[求助] DC综合:File is not a DB file. (DB-1) 新人帖 ilxsh 2024-4-16 6249 唐三彩骆驼 2024-4-19 17:01
[求助] siliconsmart 触发器K库combined_setup_hold 的问题 桦桦 2024-4-18 1182 asmc8873 2024-4-19 15:55
悬赏 [求助] PT_1_2008.06 遇到The session directory is corrupted (SR-011)问题 - [悬赏 50 信元资产] HOU_TX 2023-4-14 31198 HOU_TX 2024-4-19 15:07
[求助] 紧急求助siliconsmart仿真时报错SMSC_NETLIST_PARSE_ERR attach_img wangziyi11 2022-7-19 61234 wangziyi11 2024-4-19 14:53
[求助] route_design_tieoff_to_shapes的含义。 spima 2024-2-22 2291 spima 2024-4-19 14:08
[求助] STA | instance延时过大 海狸先生0119 2024-4-18 1246 lyjjj 2024-4-19 10:13
[求助] corner的作用?  ...2 亦儿 2015-8-23 189801 dmf336 2024-4-19 09:36
[求助] 能否设置innovus时序分析精度 thrallzy 2024-4-17 4254 thrallzy 2024-4-19 09:26
[求助] mix clock fangwang85 2024-4-19 0190 fangwang85 2024-4-19 09:13
[招聘] 西安招聘模拟版图工程师(承接今年毕业生/可本科/有入职培训) raedon 2024-4-19 0321 raedon 2024-4-19 09:00
[求助] synthesis | timing path中instance fanout过大的问题 海狸先生0119 2024-4-18 0175 海狸先生0119 2024-4-18 17:53
悬赏 [求助] ICC2生成lef怎么保留pg pin? - [已解决] IS_Kyle_ 2017-9-15 44318 fangwang85 2024-4-18 16:58
[原创] 对输入时钟加一个控制信号进行时钟翻转DC里面的slcak不对 新人帖  ...2 叶子iou 2024-4-15 14409 叶子iou 2024-4-18 16:41
[求助] 请问lib库中的slew_derate_from_library这条命令的意思是什么啊? attachment  ...2 AndreaChiu 2012-11-14 1816147 EndingZ 2024-4-18 16:32
[求助] [求助][后端基础]关于pin,port,terminal,shape,net,text的都指什么? zats 2012-9-27 913440 墨默 2024-4-18 14:33
[求助] 两个时钟都设上false_path;logically_exclusive;physically_exclusive,哪个优先级更高 黄一芯 2024-4-11 8358 hxy2018 2024-4-18 13:36
[求助] 蒙特卡罗仿真 新人帖  ...2 inner_nana 2019-3-29 127339 Haypin 2024-4-18 13:35
[求助] LVT,SVT,HVT各有什么特点?  ...2345 wyj_whu 2012-5-14 4456045 spima 2024-4-17 17:14
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-29 20:52 , Processed in 0.017948 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块