在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 16041|回复: 20

[求助] 关于DDR3的读写操作,看看我的流程对吗?

[复制链接]
发表于 2014-2-28 17:22:01 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近简单调了一下KC705开发板上面的DDR3,型号是MT8JTF12864HZ-1G6;有时候加载程序后,发现读出数据不是写进去的,在这将我的操作思路说下,有弄过的说说哪块有问题:1.ip核的sys_clk_i给400M时钟,clk_ref_i给200M时钟;app_wdf_mask全为0;app_sr_req,app_ref_req,app_zq_req接pull_down;
2.等初始化完成后(即init_calib_complete为高电平)1.5s,对ddr3写操作,在app_wdf_rdy为高,且app_rdy为低电平时,使能app_en,且app_cmd=0;
3.判断:
a,若(app_rdy == 1) && (app_wdf_rdy == 1) ,则app_wdf_wren<=1, app_wdf_end  <= 1 ,app_wdf_data=写数据,app_addr
<=初始地址,步骤4。

b,若(app_rdy == 0) && (app_wdf_rdy == 1) ,则app_wdf_wren<=0, app_wdf_end  <= 0 ;等待app_rdy == 1
c,若 (app_wdf_rdy == 0) ,返回2。
4.判断:
a,若(app_wdf_rdy == 1),则app_wdf_wren<=0, app_wdf_end  <= 0 ,app_addr
<= app_addr + 8 ;步骤3;

b,( app_addr == ADDRESS ),ADDRESS为设定的最大地址值;步骤5;
c,若 (app_wdf_rdy == 0) ,返回2。
5.空闲40周期,步骤6;
6.读操作,判断:
a,若(app_addr == ADDRESS),读结束,步骤7;
b,若( app_rdy == 1),app_en   <= 1 ;app_cmd
<= 3'd1,app_addr
<= app_addr + 4 ;步骤6;

c,否则,步骤6;
7.空闲1024周期,步骤3。


但是有时候读的数据不是写进去的数据,如图:
正确写-20140225.png
读正确.png
读错误.png
 楼主| 发表于 2014-2-28 17:24:49 | 显示全部楼层
步骤4.b中,app_en<=0,app_wdf_wren<=0, app_wdf_end  <= 0 ,app_addr <= 0,步骤5
 楼主| 发表于 2014-3-3 08:15:07 | 显示全部楼层
周一,会有人来解答的吧
 楼主| 发表于 2014-3-6 08:25:34 | 显示全部楼层
结贴了,调差不多了。虽然没人回答,但是希望能对别人有点借鉴意义。我只能说调试别人是帮不了你的,逛逛论坛,启发一下倒是真的,一百个人调试,一百个不同的问题,让人怎么帮。
发表于 2014-3-7 15:44:43 | 显示全部楼层
您好,我来晚了,呵呵!我最近也在kc705上做ddr3的实验,但苦于没人交流,你的ddr3调试成功了吗?
发表于 2014-3-10 14:13:36 | 显示全部楼层
回复 4# neufeifatonju


   您好,我来晚了,呵呵!我最近也在kc705上做ddr3的实验,但苦于没人交流,你的ddr3调试成功了吗?
发表于 2014-6-30 19:38:09 | 显示全部楼层
回复 4# neufeifatonju

你好,我最近也在弄ddr3,初始化的init_calib_complete信号仿真能拉高,下到板子上就一直为低,请问可能是啥问题。或者给个联系方式指导下
发表于 2014-6-30 23:08:50 | 显示全部楼层
表示不太懂
发表于 2014-7-9 22:40:08 | 显示全部楼层
学习了
发表于 2014-7-17 15:28:02 | 显示全部楼层
spartan6的mig核的信号跟v7的不一样,还是高端器件的好调
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-19 23:04 , Processed in 0.030638 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表