在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1826|回复: 0

[原创] Xilinx FPGA入门连载74:波形发生器之IP核CORDIC(正弦波)功能仿真

[复制链接]
发表于 2016-4-25 08:21:43 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
Xilinx FPGA入门连载74:波形发生器之IPCORDIC(正弦波)功能仿真

特权同学,版权所有

配套例程和更多资料下载链接:

http://pan.baidu.com/s/1jGjAhEm

1.jpg


1 Xilinx库设置


打开文件夹sp6ex30下的ISE工程。

如图所示,切换到“Design à Simulation”界面。鼠标选中“vtf_sp6.v”文件。

2.jpg


此时,在“Processer:vtf_sp6”下,选择“SimulateBehavioral Model”,然后点击鼠标右键,弹出菜单中选择“ProcessProperties…”。

3.jpg


如图所示,确认设置好在安装Modelsim过程中编译好的ISELibrary路径。设定完成后点击“OK”回到ISE主界面。

4.jpg


2 功能仿真


如图所示,双击“Simulate Behavioral Model”开始仿真。

5.jpg


接着,Modelsim中我们可以查看输出的正弦波波形。当然,我们首先看到的并不是正弦波,需要做一些配置。


如图所示,在Wave窗口中,选中sin_out信号,单击右键菜单选择“Properties…”。

6.jpg


进入Properties后,选择“Format”选项卡,做如图所示的设置,完成后点击“OK”。

7.jpg


接着继续点击“Run -all”按钮,要跑上2s的波形时间(实际时间可能要跑个3-5分钟),我们就能看到一个正弦波出现了。

8.jpg






您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 03:08 , Processed in 0.028170 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表