在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1426|回复: 3

[求助] 有关Quartus与Modelsim使用的方法

[复制链接]
发表于 2016-3-2 22:09:48 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近在学FPGA,看的夏宇闻老师的verilog数字系统设计,经常看到这样的代码,比如下面的代码,请问一下显示的内容在哪里看,是不是用Modelsim?这种代码是不是直接用Modelsim的Start Simulation还是先编写Testbench?



  1. module display_cmds;
  2.     reg a;
  3.     initial $monitor("\$monitor: a = %b", a);
  4.     initial
  5.        begin
  6.        $strobe ("\$strobe : a = %b", a);
  7.        a = 0;
  8.       a <= 1;
  9.       $display ("\$display: a = %b", a);
  10.       #1 $finish;
  11.        end
  12. endmodule


复制代码
发表于 2016-3-12 10:13:57 | 显示全部楼层
肯定要先编写testbench
发表于 2016-3-12 10:15:04 | 显示全部楼层
肯定要先编写Testbench
发表于 2016-3-12 10:15:35 | 显示全部楼层
肯定先编写Testbench
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 22:03 , Processed in 0.024336 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表