在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6238|回复: 7

[讨论] 做cts时,对generated clock的处理方法?

[复制链接]
发表于 2012-9-8 23:01:11 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
最近做clock tree的时候,在做有generated clock的tree时,遇到了点困惑。
     比如,master clock 是clk1,在寄存器ff1/Q处创建了分频时钟div_clk1,在做tree的时候工具(icc)会自动把这个ff1看成non_stop吗?感觉工具会把ff1作为sink pin来做tree,所以是应该单独做generated clock,再做master clock吗?还是用别的方法做clock tree?请大家说说你们方法,谢谢啦。。。
发表于 2012-9-9 12:49:18 | 显示全部楼层
本帖最后由 xjg@hmes 于 2012-9-9 12:51 编辑

回复 1# michaelll

"比如,master clock 是clk1,在寄存器ff1/Q处创建了分频时钟div_clk1,在做tree的时候工具(icc)会自动把这个ff1看成non_stop吗?感觉工具会把ff1作为sink pin来做tree,所以是应该单独做generated clock,再做master clock吗?还是用别的方法做clock tree?请大家说说你们方法,谢谢啦。。。"

==>master clock 是clk1,在寄存器ff1/Q处创建了分频时钟div_clk1,在做tree的时候工具(icc)会自动把这个ff1看成non_stop.
不会作为sink pin。
如果clock root 还有另外的分支且和分频后的ff有timing关系,则不能分开做cts,交给工具自己blance。
如果clock root 还有另外的分支且和分频后的ff有timing没有关系,需要分开做cts,在ff1/Q设另外一个create clock。不要generate。
如果分频前面没有分支,直接在分频ff1/Q端create clock。
 楼主| 发表于 2012-9-10 08:54:24 | 显示全部楼层
回复 2# xjg@hmes


    你好,如你所说的,分开做clock时,在ff1/Q用create_clock,那ff1会自动设置为clock root的SINK还是要手动设置stop或者float?谢谢。。
 楼主| 发表于 2012-9-10 10:15:27 | 显示全部楼层
回复 2# xjg@hmes


    你好,当分支与分频的generate clock有timing关系时,不分开做,你的意思是要删除ff1/Q处的generated clock。只做master clock吗?
发表于 2012-9-10 12:41:37 | 显示全部楼层
回复 3# michaelll


    "你好,如你所说的,分开做clock时,在ff1/Q用create_clock,那ff1会自动设置为clock root的SINK还是要手动设置stop或者float?谢谢。。"

==>当然会自动认为sink,FF的CK只有在其后又generate clock分频时ICC才会自动认为non stop pin,否则都为sink。
发表于 2012-9-10 12:43:04 | 显示全部楼层
回复 4# michaelll


    “你好,当分支与分频的generate clock有timing关系时,不分开做,你的意思是要删除ff1/Q处的generated clock。只做master clock吗?”

==〉不是,root设create clock,ff1/Q设generate clock即可
 楼主| 发表于 2012-9-10 12:48:43 | 显示全部楼层
回复 6# xjg@hmes


    哦。。我明白了,谢谢,我现在做的tree,碰到个icc bug感觉,他不认上面所说的ff1为non stop (ff1后有generated clock),看来我只能手动设置了。还有,我现在gen_clk 和 master clock有timing 关系,但是master clock后只有gen_clk,没有别的分支,是不是应该不分开做tree,让工具自己balance?
发表于 2012-9-10 14:13:11 | 显示全部楼层
回复 7# michaelll


    我上述说的也是同常情况下是那样的。根据不同dessign,可能会存在bug。
之前我遇到过:
在做CTS时,发现有一个register的clock pin既是ignore pin又是non-stop pin
其中的register被用做二分频,输出端Q通过一个inverter连接到了自己的输入端上;Q端上定义了一个Generated Clock(GCLK)。
因此工具推导出这个register的CLK pin是一个non-stop pin。
同时,这个GCLK通过一个PAD输出到芯片外部,没有再与其他register相连。因些,工具推导出这是一个implicit ignore pin。

你现在这种情况
你在master设create clock ,ff1/Q设generate clock即可。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /3 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-19 01:49 , Processed in 0.032549 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表