在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 24791|回复: 13

[求助] 怎样用verilog实现纯数字的倍频电路?

[复制链接]
发表于 2011-11-17 21:20:14 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教大家,谢谢!
发表于 2011-11-18 10:10:57 | 显示全部楼层
理论上是可以的
但是很难得到占空比是50%的时钟。
发表于 2011-11-18 10:59:19 | 显示全部楼层
同求解答,这个以前只知道是分频,还真没有弄过倍频的
发表于 2011-11-18 21:03:40 | 显示全部楼层
下面这个图可以用来倍频。
利用了寄存器传播延时。
仿真的话,必须给FF加传播延时。
不过占空比很难控制到50%。
Snap6.jpg
Snap6.jpg
发表于 2011-11-18 22:57:14 | 显示全部楼层
用倍频模块不行吗?
发表于 2011-11-19 14:20:47 | 显示全部楼层
倍频一般都是用模拟做吧,数字的优势不在这方面
发表于 2011-11-19 14:28:48 | 显示全部楼层
放着PLL和DCM不用为啥非要用数字实现?
发表于 2011-11-20 10:24:56 | 显示全部楼层
能否先用计数器类似的东西提取原频率,同时生成倍频的。
关键在于如何提取频率,数字提取频率原理要比pll容易?个人觉得是这样
发表于 2011-11-20 10:26:21 | 显示全部楼层
发表于 2011-11-20 20:04:54 | 显示全部楼层
回复 9# kekeguda


    有全数字的PLL,但是随着对clock频率要求越来越高,还是要依靠模拟电路的PLL来实现。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 23:03 , Processed in 0.033556 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表