在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2140|回复: 4

[求助] CTS之前set_clock_tree option问题

[复制链接]
发表于 2016-9-29 12:44:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

pre_cts时,设置clock_tree_level其值是如何确定的?我通过迭代确定,发现其与默认值相比,时钟树级数降低,且在进行STA分析是有效的减少了hold violation。问:我时序收敛的方法是否正确?

 楼主| 发表于 2016-9-30 12:55:02 | 显示全部楼层
顶顶顶
发表于 2016-11-3 17:27:06 | 显示全部楼层
clock_tree_level一般按默认的来设置,你说的级数越低hold越好,那应该是clock tree的skew变小的原因,skew小了说明做的应该没什么问题
发表于 2016-11-4 16:07:20 | 显示全部楼层
回复 3# mayday9518

    您好,ICC后来的版本不是取消了约束级数的命令,很早的版本才有约束级数的命令
发表于 2016-11-4 16:17:36 | 显示全部楼层
回复 1# 1027199631


    命令和解释可以贴出来吗?默认值是多少?我记得ICC后来的版本已经取消限制级数的命令,你的ICC是什么版本??
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 07:19 , Processed in 0.018354 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表