在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 2614|回复: 2

[求助] Altera FPGA引脚分配与XIlinx的约束文件的关系

[复制链接]
发表于 2015-9-8 16:35:30 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
我之前做了两年的Altera Cyclone系列的FPGA,只听说过引脚分配,没有听说过约束文件。而现在做Xilinx FPGA Virtex系列的FPGA的时候,有约束文件,不但包含引脚分配还包含大量的时钟约束内容。
我不明白:Altera的引脚分配与Xilinx的约束文件有什么关系或者区别?请大侠指点一下,谢谢。
发表于 2015-9-8 19:26:03 | 显示全部楼层
xilinx 7系列的用vivado开发时的时序约束文件是后缀为.xdc的,跟altera的时序约束文件.sdc基本类似,都是基于synopsys的一套约束方式
ISE开发好像一般用的.ucf后缀的约束文件,写的时序约束和管脚约束一般都在这个文件内
 楼主| 发表于 2015-9-8 20:57:31 | 显示全部楼层
回复 2# pala0001 你好:
可能是因为我用的Altera时占用Cylone系列,没有使用约束文件。那么引脚分配算是约束文件的一部分吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-29 03:29 , Processed in 0.015331 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表